TWI508271B - 固態影像拾取裝置及其製造方法 - Google Patents

固態影像拾取裝置及其製造方法 Download PDF

Info

Publication number
TWI508271B
TWI508271B TW099126361A TW99126361A TWI508271B TW I508271 B TWI508271 B TW I508271B TW 099126361 A TW099126361 A TW 099126361A TW 99126361 A TW99126361 A TW 99126361A TW I508271 B TWI508271 B TW I508271B
Authority
TW
Taiwan
Prior art keywords
layer
semiconductor substrate
tantalum carbide
image pickup
region
Prior art date
Application number
TW099126361A
Other languages
English (en)
Other versions
TW201117365A (en
Inventor
Tomokazu Ohchi
Yuki Miyanami
Shinichi Arakawa
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Publication of TW201117365A publication Critical patent/TW201117365A/zh
Application granted granted Critical
Publication of TWI508271B publication Critical patent/TWI508271B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14687Wafer level processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Element Separation (AREA)

Description

固態影像拾取裝置及其製造方法
本發明係關於一種固態影像拾取裝置及其製造方法。
針對固態影像拾取裝置,已普遍採用在其中形成光電轉換區段之一基板之一表面上形成電極及佈線且允許光從該等電極及佈線上方進入之前側照明結構。在利用矽基板作為此等基板之固態影像拾取裝置(例如CCD(電荷耦合裝置)及CMOS(互補金屬氧化物半導體)感測器)中,近年來針對作為影像感測器之光電轉換區段採用一掩埋結構以減輕雜訊。
一具有前側照明結構之固態影像拾取裝置之一剖面圖顯示於圖8中。
如圖8中所示,固態影像拾取裝置100係一具有前側照明結構之CMOS固態影像拾取裝置。
每一像素之一光接收感測器區段具有一稱作「HAD(電洞累積二極體)結構」之結構,特定而言一在光接收感測器區段之一表面中植入一P-型雜質以造成過量電子之釘紮之結構。藉由此HAD結構,實現白斑之減少及暗電流之減小。
每一光接收感測器區段皆具有一在一矽基板101上形成一光電二極體PD,經由一層間絕緣膜102在矽基板101上配置一多層佈線層103,且進一步地,在佈線層103上方之上層中配置一濾色片104及一透鏡105之組態。入射光L透過透鏡105、濾色片104及佈線層103之毗鄰個別部分之間的層間絕緣膜102,並進入光接收感測器區段中之光電二極體PD。
現在參見圖9A及圖9B,將作出一關於一前側照明、CMOS固態影像拾取裝置中之每一掩埋感測器之一製造方法之說明。
如圖9A中所示,首先藉由離子植入經由一形成於一矽基板201之一表面上之氧化物膜231在矽基板201中形成一P-型掩埋區域202。此離子植入經執行以形成HAD感測器之一溢流障壁,並阻止自矽基板201釋放之電子進入一光接收感測器區段。
經由上述氧化物層231,接下來在矽基板201中形成一P-型元件隔離區域221。此P-型元件隔離區域221在上述P-型掩埋區域202上方之矽基板201中形成為一用於形成並隔離像素之間的元件之區域。隨後,移除氧化物膜231。
如圖9B中所圖解說明,接下來在矽基板201上形成一閘極絕緣膜211,並經由閘極絕緣膜211,形成一轉移閘212。隨後,再次在矽基板201上形成一指定為編號232之氧化物膜。此時,閘極絕緣膜211除其一位於傳輸閘極212下方之部分以外可能已被移除抑或可能仍然存在。在該圖式中,圖解說明其已被移除之情形。
然後如下文中將闡述執行具有HAD結構之光接收感測器區段之形成。
經由氧化物膜232,首先藉由離子植入在矽基板201中形成一N-型區域203。此離子植入分兩個階段執行,一個階段用於形成一用於給所得像素提供更高靈敏度之層,且另一個階段用於形成用於形成光接收感測器區段之另一層。用於給所得像素提供更高靈敏度之離子植入執行達到一大的深度,而用於形成光接收感測器區段之離子植入執行達到一較用於給所得像素提高更高靈敏度之離子植入相對淺的深度。
接下來經由上述氧化物膜232藉由離子植入在N-型區域203上方之矽基板201中形成一P-型區域204。此離子植入經執行以增強該光接收感測器區段之表面中之釘紮。在這個時候,離子根據電荷之傳送傾斜地植入從而不允許離子在一毗鄰轉移閘212之一側壁之區域處滲透至矽基板201中。
光電二極體PD構造具有一如上所述形成於N-型區域203與P-型區域204之間的PN接面。
藉由具有上述HAD結構之掩埋感測器組態之固態影像拾取裝置,可大大減輕迄今為止產生於光接收感測器區段之表面中之雜訊,例如暗電流。
因此,具有HAD結構之掩埋固態影像拾取裝置被視為有可能實現作為一固態影像拾取裝置之極佳特性。
然而,具有HAD結構之掩埋固態影像拾取裝置涉及一問題,因為在其製程中,光接收感測器區段中之P-型區域204中之硼(B)擴散至矽基板201中之N-型區域203中且硼之最終雜質濃度剖面因此變寬。在該圖式中,硼(B)之雜質剖面由一虛曲線指示,且N-型雜質(例如,磷)之剖面由一實曲線指示。
因此,難以形成一陡峭雜質濃度剖面。由於光接收感測器區段中之PN接面之加寬,飽和電荷量(Qs)顯著減小。
而且,與個別裝置參數之此等偏差造成感測器特性之劣化、因硼往該通道區域中之滲透而引起之增加之白斑及黑斑之出現、及由硼擴散量之不穩定性而引起之感測器特性之變化或類似變化。
為解決上述問題,期望抑制硼受熱擴散之出現。
另一方面,在MOSFET(金屬氧化物半導體場效電晶體)中,已報告幾種用於阻止硼擴散之技術。
為抑制因小型化而產生一問題之短通道效應,例如,在32 nm節點之後的先進MOS(金屬氧化物半導體)裝置(例如,nMOSFET)中,已推薦一種具有一利用一SiC層之陡峭通道與暈環剖面結構之裝置。此nMOSFET將參照圖10A中所示之一示意性剖面組態圖及圖10B及10C中所示之剖面攝影圖來加以說明。
如圖10A中所繪示,已報告一種使矽在植入用於調節一臨限電壓Vt之離子之後經歷磊晶生長之技術。舉例而言,在形成一硼擴散區域313之後,藉由磊晶生長形成一磊晶生長矽層311。在此情況下,最終通道植入剖面因在整個形成步驟期間存在一熱預算而變寬。此更寬之最終通道植入剖面係歸因於硼受熱擴散,從而顯著降低一nMOSFET310之電晶體特性以導致增大之特性變化。
如圖10B中所圖解說明,因此引入一SiC層312。此SiC層312已藉由磊晶生長形成為一防止硼擴散之雜質擴散障壁。由於碳(C)被視為可減輕硼(B)之擴散之材料之一,因此已開發出一種在nMOSFET 310中,在磊晶生長矽層311下方形成SiC層312之技術。因形成SiC層312,故可抑制硼擴散(參見,例如,A. Hakozaki,H. Itokawa,N. Kusanoki,I. Mizushima,S. Inaba,S. Kawanaka and Y. Toyoshima,「Steep Channel & Halo Profiles Utilizing Boron-Diffusion-Barrier(SiC) for 32 nm Node and Beyond,」2008 Symposium on VLSI Technology Digests of Technical Papers(2008),在下文中稱作非專利文獻1)。
因實踐此技術,故如圖11中所繪示穩定含於磊晶生長矽層311與硼擴散區域313之間的通道部分中之雜質之濃度已成為可能(參見,例如,非專利文獻1)。
如圖12中所圖解說明,亦已指示,短通道效應因可抑制臨限電壓(Vth)之變化及流動性之劣化且同時可抑制一空乏層之加寬而受到抑制(參見,例如,非專利文獻1)。
參照圖13中所示之一示意剖面組態圖,接下來將作出一關於一用於抑制在一異質接面雙極電晶體中硼往一SiC層中之擴散之方法之說明。
如圖13中所圖解說明,在一其中一射極電極430係由矽形成且一基極係由矽鍺(SiGe)形成之異質接面雙極電晶體401中,形成一具有含於一基極層中之碳之矽鍺層427以實現一高頻高輸出電晶體。
特定而言,在一P-型矽基板421中形成溝渠隔離區域422,並在溝渠隔離區域422之間形成一副集極層423。在副集極層423上方,形成一第二集極擴散層424,並進一步地,形成一N+ -型集極引線層426,一元件隔離區域425隔在N+ -型集極引線層426與第二集極擴散層424之間。另外,藉由磊晶生長在P-型矽基板421上形成含碳矽鍺層427以減少寄生電容。在含碳矽鍺層427之磊晶生長期間在含碳矽鍺層427中引入硼(B),從而給含碳矽鍺層427提供P-型導電率。其碳濃度為約0.5%。
在含碳矽鍺層427上,進一步形成一氧化物膜428。經由一形成於氧化物膜428中之開口429,結合含碳矽鍺層427形成射極電極430。
在一約900℃左右之溫度下,使射極電極430中之磷擴散至作為該基極層之含碳矽鍺層427中以形成一射極層431。
通常,高濃度磷之擴散釋放間質矽,且因此,造成一促進該基極層中之硼(B)擴散之情形。然而,如上所述,由含碳矽鍺層427形成該基極層使得碳(C)可與間質矽互動從而除去間質矽而不是形成間質碳化矽(SiC)。已報告,出於上述原因,可抑制硼(B)受熱加速擴散(參見,例如,第2005-167125號日本公開專利)。
由於在一光接收感測器區段中硼自一P-型區域往一N-型區域中擴散,因此加寬硼之最終雜質濃度剖面以大大降低飽和電荷量係一有待解決的問題。
本發明可抑制在一光接收感測器區段中硼自一P-型區域往一N-型區域中擴散,從而有可能抑制飽和電荷量之減小。
一種根據本發明之一實施例之固態影像拾取裝置具有若干個光電二極體,該等光電二極體中之每一者包括一形成於一半導體基板中之N-型區域、一形成於該N-型區域上方之第一碳化矽層、及一具有一形成於該第一碳化矽層上方且摻雜有硼之第一矽層之P-型區域。
在根據本發明各實施例之固態影像拾取裝置中,該第一碳化矽層形成於構成該光電二極體之該N-型區域與該硼摻雜P-型區域之間。硼自該P-型區域往該N-型區域中擴散因此受該第一碳化矽層抑制。因而,在該P-型區域與該N-型區域之間的一邊界附近的雜質濃度分佈變成一具有一所謂陡峭濃度梯度之雜質濃度分佈。
一種根據本發明之另一實施例用於製造一固態影像拾取裝置之方法包括如下步驟:藉由磊晶生長在一半導體基板上形成一第一碳化矽層,藉由磊晶生長在該第一碳化矽層上形成一第一矽層,藉由離子植入在該半導體基板中形成光電二極體之N-型區域,並往該等N-型區域上方之該第一矽層中植入硼以形成該等光電二極體之P-型區域。
根據本發明之另一實施例用於製造固態影像拾取裝置之方法,藉由執行硼往該第一矽層中之植入在該N-型區域與該P-型區域之間形成該第一碳化矽層,該N-型區域與該P-型區域構成該光電二極體。硼自該P-型區域往該N-型區域中擴散因此受該第一碳化矽層抑制。因而,在該P-型區域與該N-型區域之間的該邊界附近的雜質濃度分佈變成一具有一所謂陡峭濃度梯度之雜質濃度分佈。
在根據本發明各實施例之固態影像拾取裝置中,在該P-型區域與該N-型區域之間的該邊界附近的雜質濃度分佈變成一具有一所謂陡峭濃度梯度之雜質濃度分佈。因此,可增大飽和電荷量Qs。
根據本發明之另一實施例用於製造固態影像拾取裝置之方法可增大飽和電荷量Qs,此乃因在該P-型區域與該N-型區域之間的該邊界附近的雜質濃度分佈變成一具有一所謂陡峭濃度梯度之雜質濃度分佈。
下文將作出一關於本發明各實施例之說明。該說明將按下述次序作出。
1. 第一實施例
2. 第二實施例
3. 第三實施例(後側照明型,其中像素間隔離區域延伸穿過一基板)
4. 第四實施例(後側照明型,其中像素間隔離區域不延伸穿過一基板)
5. 第五實施例(後側照明型,其中像素間隔離區域與光電二極體之P-型區域在雜質濃度上不同)
6. 第六實施例(後側照明型,其中光電二極體之接受表面係彎曲表面)
7. 其他
<1. 第一實施例> [固態影像拾取裝置之組態之第一實例]
根據本發明之第一實施例之固態影像拾取裝置之組態之第一實例將參照圖1中所示之示意性剖面組態圖來加以說明。
如圖1中所示,作用一矽基板作為一半導體基板11,並在半導體基板11中形成一摻雜有硼(B)之P-型掩埋區域12。此P-型掩埋區域12充當一具有HAD結構之光接收感測器區段(光電二極體)之一溢流障壁區域。
在P-型掩埋區域12上方之半導體基板11上,形成像素間隔離區域41以隔離像素。此等像素間隔離區域41係例如由P-型雜質區域形成。此等P-型雜質區域係例如由硼摻雜區域形成。
在半導體基板11上,形成一第一碳化矽(SiC)層21。此第一碳化矽層21之膜厚度可設定例如為自5 nm至10 nm。第一碳化矽層21中之碳(C)之濃度可設定為自0.1原子%至0.5原子%。
第一碳化矽層21可例如由一具有一由半導體基板11繼承而來之晶體結構之磊晶生長層形成。
另外,在第一碳化矽層21上形成一第一矽層22。此第一矽層22可例如由一未經摻雜矽層形成,且其膜厚度可設定例如為自10 nm至20 nm。第一矽層22亦可例如由一具有一由第一碳化矽層21繼承而來之晶體結構之磊晶生長層形成作為一基極。
在像素間隔離區域41上方之第一矽層22中,形成像素間隔離區域42。此等像素間隔離區域42可例如由P-型雜質區域形成。此等P-型雜質區域可例如由硼摻雜區域形成。
在第一碳化矽層21與P-型掩埋區域12之間及像素間隔離區域41之間的半導體基板11中,形成一N-型區域13。此N-型區域13可例如藉由往半導體基板11中摻雜一N-型雜質而形成,且作為該N-型雜質,例如,可使用磷(P)、砷(As)、銻(Sb)或類似物。在此實施例中,使用磷(P)作為一實例。
在像素間隔離區域42之間的第一矽層22中,形成一P-型區域14作為一增強型釘紮區域。
如上所述構造由N-型區域13與P-型區域14構成之光電二極體15。
儘管未圖解說明於該圖式中,但一碳化矽層可形成於P-型掩埋區域12與光電二極體15之間。藉由此碳化矽層,可阻止硼自P-型掩埋區域12往N-型區域13中擴散。
在側向於光電二極體15及一像素間隔離區域42之第一矽層22上方,經由一閘極絕緣膜16形成一轉移閘17。此轉移閘17可較佳形成具有一根據一電荷轉移與P-型區域14保持之預定間隔。儘管未顯示於該圖式中,但像素電晶體(例如,重設電晶體、放大電晶體、選擇電晶體及類似電晶體)之個別閘電極經由一閘極絕緣膜(未顯示)形成於第一矽層22上方。
閘極絕緣膜16可例如由一氧化矽膜形成。另一方面,轉移閘17可例如由一多晶矽膜形成。此多晶矽膜之膜厚度可為例如180 nm。此膜厚度可根據需要加以改變。
如所述構造固態影像拾取裝置1之每一光接收感測器區段。
在上述固態影像拾取裝置1中,在P-型區域14與N-型區域13之間的邊界附近的雜質濃度分佈變成一具有一所謂陡峭濃度梯度之雜質濃度分佈。因此,可增大飽和電荷量Qs。
由於阻止硼往該通道區域中滲透,因此可抑制白斑及黑斑之出現,且進一步地,亦可抑制硼擴散。因此,感測器特性保持穩定。
[固態影像拾取裝置之組態之第二實例]
在上文結合該固態影像拾取裝置之第一實例所述之組態中,較佳在由P-型雜質區域形成之像素間隔離區域周圍形成碳化矽層。作為根據本發明之第一實施例之固態影像拾取裝置之組態之第二實例,其組態將參照圖2中所示之示意性剖面組態圖來加以說明。
應注意,一半導體基板11應不僅包括上文所述之半導體基板11而且包括形成於半導體基板11上之第一碳化矽層21及第一矽層22。
如圖2中所示,使用一矽基板作為半導體基板11,並半導體11中形成一摻雜有硼(B)之P-型掩埋區域12。此P-型掩埋區域12充當一具有HAD結構之光接收感測器區段(光電二極體)之一溢流障壁區域。
在P-型掩埋區域12上方之半導體基板11上,形成像素間隔離溝渠51以隔離像素。在此等隔離溝渠51之內壁上,形成第二碳化矽(SiC)層52。每一第二碳化矽層52之厚度可設定例如為自10 nm至25 nm。每一第二碳化矽層52中之碳(C)之濃度可設定為自0.1原子%至0.5原子%。
每一第二碳化矽層52可例如由一具有一由半導體基板11繼承而來之晶體結構之磊晶生長層形成。
在每一隔離溝渠51內部,經由第二碳化矽層52填充一摻雜硼之矽(Si)層53。在此矽層53中,硼(B)之濃度可設定例如為3×1016 原子/cm3
由第二碳化矽層52及矽層53形成一像素間隔離區域43。
在形成於半導體基板11上之第一碳化矽層21與P-型掩埋區域12之間及像素間隔離區域43之間的半導體基板11中,形成一N-型區域13。此N-型區域13可藉由往半導體基板11中摻雜一N-型雜質而形成,且作為該N-型雜質,例如可使用磷(P)、砷(As)、銻(Sb)或類似物。在此實施例中,使用磷(P)作為一實例。
在像素間隔離區域43之間及第一碳化矽層21上方之第一矽層22(參見圖1)中,形成一P-型區域14作為一增強型釘紮區域。
如上所述構造由N-型區域13與P-型區域14構成之光電二極體15。
儘管未圖解說明於該圖式中,但一碳化矽層可形成於P-型掩埋區域12與光電二極體15之間。藉由此碳化矽層,可阻止硼自P-型掩埋區域12往N-型區域13中擴散。
重新參見圖1,N-型區域13中之雜質濃度分佈由一實曲線指示,且P-型區域14中之雜質濃度分佈由一虛曲線指示。根據此等雜質濃度分佈,該等濃度隨著該等位置在該圖式中向右移動而變高。
現在重新參見圖2,在側向於光電二極體15及像素間隔離區域43之第一矽層22上方,經由一閘極絕緣膜16形成一轉移閘17。此轉移閘17可較佳形成具有根據一電荷轉移而距離P-型區域14之一預定間隔。儘管未顯示於該圖式中,但像素電晶體(例如,重設電晶體、放大電晶體、選擇電晶體及類似電晶體)之個別閘電極經由一閘極絕緣膜(未顯示)形成於第一矽層22上方。
閘極絕緣膜16可例如由氧化矽膜形成。另一方面,轉移閘17可例如由一多晶矽膜形成。此多晶矽膜之膜厚度可為例如180 nm。此膜厚度可根據需要加以改變。
如所述構造固態影像拾取裝置1之每一光接收感測器區段。
在上述固態影像拾取裝置1中,在P-型區域14與N-型區域13之間的邊界附近的雜質濃度分佈變成一具有一所謂陡峭濃度梯度之雜質濃度分佈。因此,可增大飽和電荷量Qs。在每一像素間隔離區域43中,第二碳化矽層52形成於摻雜硼之矽層53周圍。因此,可如同在形成於P-型區域14與N-型區域13之間的PN接面處之第一碳化矽層21中一樣阻止硼(B)之擴散。因此,可使雜質濃度分佈變成陡峭的。
由於阻止硼往該通道區域中滲透,因此可抑制白斑及黑斑之出現,且進一步地,亦可抑制硼擴散。因此,感測器特性保持穩定。
<2. 第二實施例> [固態影像拾取裝置之製造方法之第一實例]
根據本發明之第二實施例之固態影像拾取裝置之製造方法之第一實例將參照圖3A至3G中所示之示意性剖面製程圖來加以說明。將作出把一CMOS影像感測器作為一實例之說明。應注意,關於一抗蝕劑膜之一移除步驟及一邏輯區段之一形成步驟,本文中省去一說明,除非另有具體指示。
如圖3A中所圖解說明,提供一矽基板作為一半導體基板11。
在半導體基板11上,接下來形成一氧化物膜31以在離子植入時充當一緩衝膜。此氧化物膜31可例如藉由一熱氧化方法、一化學氣相生長方法或類似方法例如由一氧化矽膜形成。
在氧化物膜31上,接下來形成一具有一界定於一其中欲形成各別HAD感測器之一溢流障壁之區域處之開口之抗蝕劑膜(未顯示)。使用此抗蝕劑膜作為一遮罩,藉由離子植入經由氧化物膜31往半導體基板11中引入硼(B)以形成一P-型掩埋區域12。此P-型掩埋區域12經形成以阻止自半導體基板11釋放之電子進入欲形成之光接收感測器區段。上述離子植入需要例如2,000 keV或更大之高能量作為植入能量。P-型掩埋區域12如上所述形成為HAD感測器之一溢流障壁區域。
儘管未圖解說明於該圖式中,但一碳化矽層可例如藉由離子植入形成於P-型掩埋區域12上方。藉由此碳化矽層,可阻止硼自P-型掩埋區域12往欲隨後形成之光電二極體之N-型區域中擴散。
隨後,移除該抗蝕劑膜。
如圖3B中所圖解說明,接下來形成一具有界定於其中欲形成像素間隔離區域41之區域處之開口之抗蝕劑膜(未顯示)。使用此抗蝕劑膜作為一遮罩,藉由離子植入經由氧化物膜31往半導體基板11中引入硼(B)。藉由此離子植入,在P-型掩埋區域12上方之半導體基板11中形成像素間隔離區域41。
隨後,移除該抗蝕劑膜。
接下來使用氟酸來移除氧化物膜31。在該圖式中,圖解說明就在移除氧化物膜31之前的一狀態。
如圖3C中所圖解說明,然後藉由磊晶生長在半導體基板11上形成一第一碳化矽(SiC)層21。此磊晶生長係一種使一矽(Si)前體氣體在氫(H2 )氣體中經歷熱分解以形成一具有一與生產晶圓類似之晶體結構之薄矽膜之技術。第一碳化矽層21之膜厚度可設定例如為自5 nm至10 nm。第一碳化矽層21中之碳(C)之濃度可設定為自0.1原子%至0.5原子%。
形成第一碳化矽層21之磊晶生長之具體條件之一個實例將在下文中加以說明。
舉例而言,使用單矽烷(SiH4 )、單甲基矽烷(SiH3 CH3: MMS)及氫(H2 )作為進料氣體。一用於磊晶生長之大氣之壓力設定為1.33 kPa,單矽烷(SiH4 )之流率設定為150 cm3 /min,單甲基矽烷(SiH3 CH3: MMS)之流率設定為100 cm3 /min,氫(H2 )之流率設定為20 L/min,且基板溫度設定為600℃。
應注意,第一碳化矽層21之磊晶生長之條件可設定例如處於欲在下文中說明之範圍內。一用於磊晶生長之大氣之壓力可設定為自0.67至2.67 kPa。單矽烷(SiH4 )之流率可設定為自100 cm3 /min至300 cm3 /min,單甲基矽烷(MMS)之流率可設定為自50 cm3 /min至200 cm3 /min,且氫(H2 )之流率可設定為自10 L/min至30 L/min。此外,基板溫度可設定為自550℃至650℃。
如圖3D中所圖解說明,接下來藉由磊晶生長在第一碳化矽層21上形成一第一矽層22。此第一矽層22可例如由一未經摻雜矽層形成。第一矽層22之膜厚度可設定例如為自10 nm至20 nm。
形成第一矽層22之磊晶生長之具體條件之一個實例將在下文中加以說明。
舉例而言,使用二氯甲矽烷(SiCl2 H2 : DCS)及氫(H2 )作為進料氣體。一用於磊晶生長之大氣之壓力可設定為1.33 kPa,二氯甲矽烷(SiCl2 H2 : DCS)之流率設定為25 cm3 /min,氫(H2 )之流率設定為20 L/min,且基板溫度設定為750℃。
應注意,第一矽層22之磊晶生長之條件可設定例如處於欲在下文中說明之範圍內。一用於磊晶生長之大氣之壓力可設定為自0.67至2.67 kPa,二氯甲矽烷(SiCl2 H2 :DCS)之流率可設定為自10 cm3 /min至50 cm3 /min,氫(H2 )之流率可設定為自10 L/min至30 L/min,且基板溫度可設定為自700℃至800℃。
如圖3E中所圖解說明,接下來在第一矽層22上形成一氧化物膜32以在離子植入時充當一緩衝膜。此氧化物膜32可例如藉由一熱氧化方法、一化學氣相生長方法或類似方法例如由一氧化矽膜形成。
接下來在氧化物膜32上形成一具有界定於其中欲形成像素間隔離區域42之區域處之開口之抗蝕劑膜(未顯示)。使用此抗蝕劑膜作為一遮罩,藉由離子植入經由氧化物膜32往第一矽層22中引入硼(B)。藉由此離子植入,在像素間隔離區域41上方之第一矽層22中形成像素間隔離區域42。
隨後移除該抗蝕劑膜。
如圖3F中所圖解說明,進一步地在氧化物膜32上形成一具有界定於其中欲形成光接收感測器區段之區域上方之開口之抗蝕劑膜(未顯示)。使用此抗蝕劑膜作為一遮罩,藉由離子植入經由氧化物膜32、第一矽層22、第一碳化矽層21等等往半導體基板11中引入磷。藉由此離子植入,在P-型掩埋區域12上方之像素間隔離區域41之間的半導體基板11中形成N-型區域13。
隨後移除該抗蝕劑膜。
接下來在氧化物膜32上形成一具有界定於其中欲增強釘紮之區域上方之開口之抗蝕劑膜(未顯示)。使用此抗蝕劑膜作為一遮罩,經由氧化物膜32執行硼離子植入。藉由此離子植入,在像素間隔離區域42之間的第一矽層22中形成充當增強型釘紮區域之P-型區域14。
如上所述由N-型區域13及P-型區域14形成光電二極體15。
此外,N-型區域13中之雜質濃度分佈由一實曲線指示,且P-型區域14中之雜質濃度分佈由一虛曲線指示。根據此等雜質濃度分佈,該等濃度隨著該等位置在該圖式中向右移動而變高。
接下來例如藉由濕式蝕刻來移除氧化物膜32。在該圖式中,圖解說明就在移除氧化物膜32之前的一狀態。
如圖3G中所圖解說明,在側向於由N-型區域13與P-型區域14形成之光電二極體15及像素間隔離區域42之第一矽層22上方經由一閘極絕緣膜16形成一轉移閘17。此時,轉移閘17可較佳形成具有一根據一電荷轉移與P-型區域14保持之預定間隔。儘管未顯示於該圖式中,但像素電晶體(例如,重設電晶體、放大電晶體、選擇電晶體及類似電晶體)之個別閘電極亦可與上述轉移閘17同時形成。
閘極絕緣膜16可藉由在第一矽層22上形成一氧化矽膜而形成。作為一適用於在閘極絕緣膜16上形成一轉移閘之導電膜,例如,接下來形成一多晶矽膜。此多晶矽膜之膜厚度可設定為180 nm。
然後形成一抗蝕劑膜(未顯示)以使得其中欲形成像素區段轉移閘之區域被覆蓋。使用此抗蝕劑膜作為一遮罩,執行對該多晶矽膜之乾式蝕刻。藉由此乾式蝕刻,形成轉移閘17。後續步驟可以與在已知方法中相同之方式在沒有任何問題的情況下執行。
應注意,儘管未圖解說明於該圖式中,但重設電晶體、放大電晶體、選擇電晶體及類似電晶體之個別閘電極亦可與形成轉移閘17同時形成於此等像素電晶體之預定形成位置處。
如所述形成固態影像拾取裝置1。
在固態影像拾取裝置1之上述製造方法中,在P-型區域14與N-型區域13之間的邊界附近的雜質濃度分佈變成一具有一所謂陡峭濃度梯度之雜質濃度分佈。因此,可增大飽和電荷量Qs。
由於阻止硼往該通道區域中滲透,因此可抑制白斑及黑斑之出現,且進一步地,亦可抑制硼擴散。因此,感測器特性保持穩定。
[固態影像拾取裝置之製造方法之第二實例]
根據本發明之第二實施例之固態影像拾取裝置之製造方法將參照圖4A至4E中所示之剖面製程圖來加以說明。此處,將作出一關於一用於藉由淺溝渠隔離來形成像素間隔離區域41、42之方法之說明。應注意,一半導體基板11可視為不僅包括上述半導體基板11而且包括形成於半導體基板11上之第一碳化矽層21及第一矽層22。關於一像素間隔離區域43之形成,將作出一包括第一碳化矽層21及第一矽層22之說明。
如圖4A中所圖解說明,接下來在半導體基板11上形成一氧化物膜31以在離子植入時充當一緩衝膜。此氧化物膜31可例如藉由一熱氧化方法、一化學氣相生長方法或類似方法例如由一氧化矽膜形成。
在氧化物膜31上,接下來形成一具有一界定於一其中欲形成各別HAD感測器之一溢流障壁之區域處之開口之抗蝕劑膜(未顯示)。使用此抗蝕劑膜作為一遮罩,藉由離子植入經由氧化物膜31往半導體基板11中引入硼(B)以形成一P-型掩埋區域12。此P-型掩埋區域12經形成以阻止自半導體基板11釋放之電子進入欲形成之光接收感測器區段。上述離子植入需要例如2,000 keV或更大之高能量作為植入能量。P-型掩埋區域12如上所述形成為HAD感測器之一溢流障壁。
隨後,移除該抗蝕劑膜。
然後在氧化物膜31上形成一絕緣膜33作為一硬遮罩。此絕緣膜33可合意地例如由一氮化矽膜形成。
接下來在絕緣膜33上形成一具有界定於其中欲形成元件隔離溝渠之區域處之開口之抗蝕劑膜(未顯示)。使用該抗蝕劑膜作為一遮罩,藉由乾式蝕刻來處理絕緣膜33。
如圖4B中所圖解說明,使用絕緣膜33作為一遮罩,藉由乾式蝕刻來執行對半導體基板11之處理以形成像素間隔離溝渠51。此等像素間隔離溝渠51形成於P-型掩埋區域12上方之半導體基板11中。下文將闡述藉由上述乾式蝕刻對半導體基板11進行例示性處理。
在上述乾式蝕刻中,使用溴化氫(HBr)、氟化氮(NF3 )及氧(O2 )作為一實例。一蝕刻大氣之壓力設定為16.7 kPa,且偏置功率設定為450 W。做為各別氣體之例示性流率,溴化氫(HBr)之流率設定為230 cm3 /min,氟化氮(NF3 )之流率設定為35 cm3 /min,且氧(O2 )之流率設定為17 cm3 /min。
應注意,上述乾式蝕刻之條件可設定例如處於欲在下文中說明之範圍內。蝕刻大氣之壓力可設定為自2.67至26.7 kPa,且偏置功率可設定為自200 W至1,000 W。溴化氫(HBr)之流率設定處於一自200 cm3 /min至400 cm3 /min之範圍內,氟化氮(NF3 )之流率可設定處於一自0.0 cm3 /min至50 cm3 /min之範圍內,且氧(O2 )之流率可設定處於一自5 cm3 /min至50 cm3 /min之範圍內。
當在上述條件下處理時,像素間隔離溝渠51製成具有一0.25 μm之寬度。
當作為一用於該乾式蝕刻之硬遮罩之絕緣膜33係由一氮化矽膜形成時,其係藉由利用熱磷酸之濕式蝕刻來加以移除。
如圖4C中所圖解說明,接下來對半導體基板11應用選擇性磊晶生長以在各別像素間隔離溝渠51之內壁上形成第二碳化矽(SiC)層52。此時,氧化物膜31形成於半導體基板11之表面上,以致不在那裏進行磊晶生長。
此磊晶生長係一使一矽(Si)前體氣體在氫(H2 )氣體中經歷熱分解以形成一具有一與生產晶圓類似之晶體結構之薄矽膜之技術。每一第二碳化矽層52之膜厚度可設定例如為自10 nm至25 nm。第二碳化矽層52中之碳(C)之濃度可設定為自0.1原子%至0.5原子%。
形成第二碳化矽層52之選擇性磊晶生長之具體條件之一個實例將在下文中加以說明。
舉例而言,使用二氯甲矽烷(SiCl2 H2 : DCS)、氯化氫(HCl)、單甲基矽烷(SiH3 CH3: MMS)及氫(H2 )作為進料氣體。一用於磊晶生長之大氣之壓力設定為6.7 kPa,二氯甲矽烷(SiCl2 H2 : DCS)之流率設定為80 cm3 /min,氯化氫(HCl)之流率設定為15 cm3 /min,單甲基矽烷(SiH3 CH3: MMS)之流率設定為50 cm3 /min,氫(H2 )之流率設定為20 L/min,且基板溫度設定為700℃。
應注意,第二碳化矽層52之磊晶生長之條件可設定例如處於欲在下文中說明之範圍內。一膜形成大氣之壓力可設定處於一自2.67至10.7 kPa之範圍內。二氯甲矽烷(DCS)之流率可設定處於一自40 cm3 /min至120 cm3 /min之範圍內,氯化氫(HCl)之流率可設定處於一自5 cm3 /min至125 cm3 /min之範圍內,單甲基矽烷(MMS)之流率可設定處於一自25 cm3 /min至100 cm3 /min之範圍內,且氫(H2 )之流率可設定處於一自10 L/min至30 L/min之範圍內。此外,基板溫度可設定處於一自650℃至750℃之範圍內。
如圖4D中所圖解說明,每一像素間隔離溝渠51皆填充有一藉由選擇性磊晶生長形成於半導體基板11(實際上,第二碳化矽(SiC)層52)上之摻雜硼之矽(Si)層53。摻雜硼之矽(Si)層53之膜厚度可設定例如為自0.2 nm至0.23 nm,且摻雜硼之矽(Si)層53之硼(B)濃度可設定例如為3×1016 原子/cm3 。如上所述形成像素間隔離區域43。
作為具體條件,摻雜硼之矽(Si)層53之選擇性磊晶生長之條件將在下文中加以說明。
舉例而言,使用甲矽烷(SiH4 )、乙硼烷(B2 H6 )、氯化氫(HCl)及氫(H2 )作為進料氣體,且一用於磊晶生長之大氣之壓力設定為1.33 kPa。
單矽烷(SiH4 )之流率設定為150 cm3 /min,乙硼烷(B2 H6 )之流率(乙硼烷(B2 H6 )之濃度設定為100 ppm/H2 )設定為5 cm3 /min,氯化氫(HCl)之流率設定為150 cm3 /min,且氫(H2 )之流率設定為20 L/min。
基板溫度設定為750℃。
應注意,磊晶生長之條件可設定例如處於欲在下文中說明之範圍內。
舉例而言,用於磊晶生長之大氣之壓力可設定處於一自0.67 kPa至2.67 kPa之範圍內。此外,甲矽烷(SiH4 )之流率可設定處於一自100 cm3 /min至200 cm3 /min之範圍內,乙硼烷(B2 H6 )之流率可設定處於一自1 cm3 /min至10 cm3 /min 之範圍內,氯化氫(HCl)之流率可設定處於一自100 cm3 /min至200 cm3 /min之範圍內,且氫(H2 )之流率可設定處於一自10 L/min至30 L/min之範圍內。此外,基板溫度可設定為自700℃至800℃。
接下來移除氧化物膜31。在該圖式中,圖解說明氧化物膜31已被移除之一狀態。
如圖4E中所圖解說明,接下來在半導體基板11上形成一具有界定於其中欲形成光接收感測器區段之區域上方之開口之抗蝕劑膜(未顯示)。使用此抗蝕劑膜作為一遮罩,藉由離子植入往半導體基板11中引入磷。藉由此離子植入,在P-型掩埋區域12上方之像素間隔離區域43之間的半導體基板11中形成N-型區域13。
接下來在對應N-型區域13上方之半導體基板11中形成每一P-型區域14作為一增強型釘紮區域。
此時,較佳使用預先形成於半導體基板11中之第一碳化矽層21作為一邊界,N-型區域13在半導體基板11中形成於其一下部分之一側上且P-型區域14在半導體基板11(實際上,第一矽層22)中形成於其一上部分之一側上。
每一轉移閘17皆經由一閘極絕緣膜16形成於側向於由如上所述形成之N-型區域13與P-型區域14形成之光電二極體15及像素間隔離區域43之第一矽層22上方。此時,轉移閘17可較佳形成具有一根據一電荷轉移與P-型區域14保持之預定間隔。
儘管未顯示於該圖式中,但像素電晶體(例如,重設電晶體、放大電晶體、選擇電晶體及類似電晶體)之個別閘電極亦可亦可與上述轉移閘17同時形成。
閘極絕緣膜16可藉由在第一矽層22上形成一氧化矽膜而形成。作為一適用於在閘極絕緣膜16上形成該等轉移閘之導電膜,例如,接下來形成一多晶矽膜。此多晶矽膜之膜厚度可設定為180 nm。
然後形成一抗蝕劑膜(未顯示)以使得其中欲形成像素區段轉移閘之區域被覆蓋。使用此抗蝕劑膜作為一遮罩,執行對該多晶矽膜之乾式蝕刻。藉由此乾式蝕刻,形成轉移閘17。後續步驟可以與在迄今已知之方法中相同之方式在沒有任何問題的情況下執行。
應注意,儘管未圖解說明於該圖式中,但重設電晶體、放大電晶體、選擇電晶體及類似電晶體之個別閘電極亦可與形成轉移閘17同時形成於此等像素電晶體之預定形成位置處。
如所述形成固態影像拾取裝置1。
在該固態影像拾取裝置之上述製造方法中,在P-型區域14與N-型區域13之間的邊界附近的雜質濃度分佈變成一具有一所謂陡峭濃度梯度之雜質濃度分佈。因此,可增大飽和電荷量Qs。此外,第二碳化矽層52形成於像素間隔離區域43中之摻雜硼之矽層53周圍,且因此,可如同在P-型區域14與N-型區域13之間的PN接面中一樣阻止硼(B)擴散。因此,可使雜質濃度剖面變成陡峭的。
由於阻止硼往該通道區域中滲透,因此可抑制白斑及黑斑之出現,且進一步地,亦可抑制硼擴散。因此,感測器特性保持穩定。
在一氧化物膜之情況下,其具有一高長寬比形狀,且因此,涉及一掩埋性問題。另一方面,一矽層係藉由磊晶生長而形成,且因此,沒必要考量其掩埋性。
[對固態影像拾取裝置之製造方法之修改]
對根據本發明之第二實施例之固態影像拾取裝置之製造方法之修改將參照圖5A及5B中所示之剖面製程圖來加以說明。對該固態影像拾取裝置之製造方法之此修改係一對該固態影像拾取裝置之製造方法之第二實例之修改。
如圖5A中所圖解說明,直到上文參照圖4C所述之步驟,遵循與在上述第二實例中類似之製造步驟。特定而言,對一半導體基板11應用磊晶生長以在形成於半導體基板11中之各別像素間隔離溝渠51之內壁上形成第二碳化矽(SiC)層52。
藉由普通磊晶生長,每一像素間隔離溝渠51皆填充有一藉由對半導體基板11(實際上,第二碳化矽(SiC)層52)應用磊晶生長而形成且摻雜有硼(B)之矽(Si)層53。此時,矽層53亦沿一側向方向生長遍及氧化物膜31,且因此,亦形成於氧化物層31上。在此等非選擇性條件下進行磊晶生長時,允許矽層53保形地生長。
矽層53之膜厚度可設定例如為自0.2 nm至0.23 nm,且矽層53之硼(B)濃度可設定例如為3×1016 原子/cm3
摻雜有硼(B)之矽層53之磊晶生長之條件之一個實例將在下文中加以說明。
使用單矽烷(SiH4 )、乙硼烷(B2 H6 )、氯化氫(HCl)及氫(H2 )作為進料氣體,且一用於磊晶生長之大氣之壓力設定為1.33 kPa。
單矽烷(SiH4 )之流率設定為150 cm3 /min,乙硼烷(B2 H6 )之流率(乙硼烷(B2 H6 )之濃度設定為100 ppm/H2 )設定為5 cm3 /min,氯化氫(HCl)之流率設定為150 cm3 /min,且氫(H2 )之流率設定為20 L/min。
此外,將基板溫度設定為800℃。
應注意,磊晶生長之條件可設定例如處於欲在下文中說明之範圍內。
舉例而言,用於磊晶生長之大氣之壓力可設定處於一自0.67 kPa至2.67 kPa之範圍內。此外,單矽烷(SiH4 )之流率可設定處於一自100 cm3 /min至200 cm3 /min之範圍內,乙硼烷(B2 H6 )之流率可設定處於一自1 cm3 /min至10 cm3 /min之範圍內,氯化氫(HCl)之流率可設定處於一自100 cm3 /min至200 cm3 /min之範圍內,且氫(H2 )之流率可設定處於一自10 L/min至30 L/min之範圍內。此外,基板溫度可設定為自700℃至900℃。
如圖5B中所圖解說明,接下來藉由乾式蝕刻來移除存在於氧化物膜31(參見圖5A)上之摻雜硼之矽層53(參見圖5A)。此外,藉由蝕刻來移除氧化物膜31。作為一替代形式,可藉由化學機械拋光(CMP)來移除半導體基板11之表面上之任何剩餘矽層53及氧化物膜31。
因此,經由第二碳化矽層52由形成於像素間隔離溝渠51內部之摻雜硼之矽層53形成一像素間隔離區域43。
因此沒有必要執行上文參照圖4E之步驟及其後續步驟。
在此修改中,允許矽層53保形地生長,從而在矽層53之生長期間,可抑制空隙於像素間隔離溝渠51內部之出現。
[固態影像拾取裝置之製造方法之第三實例]
根據本發明之第二實施例之固態影像拾取裝置之製造方法之第三實例將參照圖6A至6D中所示之剖面製程圖來加以說明。
如圖6A中所圖解說明,藉由下述方式來形成一P-型掩埋區域12:如同在上述第一實例中一樣藉由離子植入往一半導體基板11中引入硼(B)。此外,藉由離子植入往P-型掩埋區域12上方之半導體基板11中引入硼(B)以形成一像素間隔離區域41。
隨後,在半導體基板11上形成一第一碳化矽層21及一第一矽層22。
在第一矽層22上,然後藉由磊晶生長形成一第三碳化矽層23。
此磊晶生長係一使一矽(Si)前體氣體在氫(H2 )氣體中經歷熱分解以形成一具有一與生產晶圓類似之晶體結構之薄矽膜之技術。第三碳化矽層23之膜厚度可設定例如為自5 nm至10 nm。第三碳化矽層23中之碳(C)之濃度可設定為自0.1原子%至0.5原子%。
形成第三碳化矽層23之磊晶生長之具體條件之一個實例將在下文中加以說明。
舉例而言,使用單矽烷(SiH4 )、單甲基矽烷(SiH3 CH3: MMS)及氫(H2 )作為進料氣體。一用於磊晶生長之大氣之壓力設定為1.33 kPa,單矽烷(SiH4 )之流率設定為150 cm3 /min,單甲基矽烷(SiH3 CH3: MMS)之流率設定為100 cm3 /min,,氫(H2 )之流率設定為20 L/min,且基板溫度設定為600℃。
應注意,第一碳化矽層21之磊晶生長之條件可設定例如處於欲在下文中說明之範圍內。用於磊晶生長之大氣之壓力可設定為自0.67至2.67 kPa。單矽烷(SiH4 )之流率可設定為100 cm3 /min至300 cm3 /min,單甲基矽烷(MMS)之流率可設定為自50 cm3 /min至200 cm3 /min,且氫(H2 )之流率可設定為自10 L/min至30 L/min。此外,基板溫度可設定為自550℃至650℃。
如圖6B中所圖解說明,接下來藉由磊晶生長在第三碳化矽層23上形成一第二矽層24。此第二矽層24可例如由一未經摻雜矽層形成。第二矽層24之膜厚度可設定例如為自10 nm至20 nm。
形成第二矽層24之磊晶生長之具有條件之一個實例將在下文中加以說明。
舉例而言,使用二氯甲矽烷(SiCl2 H2 : DCS)及氫(H2 )作為進料氣體。一用於磊晶生長之大氣之壓力設定為1.33 kPa,二氯甲矽烷(SiCl2 H2 : DCS)之流率設定為25 cm3 /min,氫(H2 )之流率設定為20 L/min,且基板溫度設定為750℃。
應注意,第二矽層24之磊晶生長之條件可設定例如處於欲在下文中說明之範圍內。用於磊晶生長之大氣之壓力可設定為自0.67至2.67 kPa,二氯甲矽烷(SiCl2 H2 :DCS)之流率可設定為自10 cm3 /min至50 cm3 /min,氫(H2 )之流率可設定為自10 L/min至30 L/min,且基板溫度可設定為700℃至800℃。
如圖6C中所圖解說明,接下來在第二矽層24及第一矽層22上形成一氧化物膜(未顯示)以在離子植入時充當一緩衝膜。此氧化物膜可例如藉由一熱氧化方法、一化學氣相生長方法或類似方法例如由一氧化矽膜形成。
接下來在該氧化物膜上形成一具有界定於其中欲形成像素間隔離區域之區域處之開口之抗蝕劑膜(未顯示)。使用此抗蝕劑膜作為一遮罩,藉由離子植入經該氧化物膜往第二矽層24及第一矽層22中引入硼(B)。藉由此離子植入,在像素間隔離區域41上方之第二矽層24及第一矽層22中形成像素間隔離區域42。
隨後移除該抗蝕劑膜。
進一步地在該氧化物膜上形成一具有界定於其中欲形成光接收感測器區段之區域上方之開口之抗蝕劑膜(未顯示)。使用此抗蝕劑膜作為一遮罩,藉由離子植入經由該氧化物膜、第二矽層24、第三碳化矽層23、第一矽層22、第一碳化矽層21等等往半導體基板11中引入磷。藉由此離子植入,在P-型掩埋區域12上方之像素間隔離區域41之間的半導體基板11中形成N-型區域13。
隨後移除該抗蝕劑膜。
接下來在該氧化物膜上形成一具有界定於其中欲增強釘紮之區域上方之開口之抗蝕劑膜(未顯示)。使用此抗蝕劑膜作為一遮罩,經由氧化物膜、第二矽層24及第三碳化矽層23往第一矽層22中執行硼離子植入。藉由此離子植入,在像素間隔離區域42之間的第一矽層22中形成充當增強型釘紮區域之P-型區域14。
如上所述由N-型區域13及P-型區域14形成光電二極體15。
此外,N-型區域13中之雜質濃度分佈由一實曲線指示,且P-型區域14中之雜質濃度分佈由一虛曲線指示。根據此等雜質濃度分佈,該等濃度隨著該等位置在該圖式中向右移動而變高。
接下來例如藉由濕式蝕刻來移除該氧化物膜、第二矽層24及第三碳化矽層23。在該圖式中,圖解說明就在移除該氧化物膜之前的一狀態。
如圖6D中所圖解說明,經由側向於光電二極體15及像素間隔離區域42之第一矽層22上方之一閘極絕緣膜16形成一轉移閘17。此時,轉移閘17可較佳形成具有一根據一電荷轉移與P-型區域14保持之預定間隔。儘管未顯示於該圖式中,但像素電晶體(例如,重設電晶體、放大電晶體、選擇電晶體及類似電晶體)之個別閘電極亦可與上述轉移閘17同時形成。
閘極絕緣膜16可藉由在第一矽層22上形成一氧化矽膜而形成。作為一適用於在該閘極絕緣膜上形成一轉移閘之導電膜,例如接下來形成一多晶矽膜。此多晶矽膜之膜厚度可設定為180 nm。
然後形成一抗蝕劑膜(未顯示)以使得其中欲形成像素區段轉移閘之區域被覆蓋。使用此抗蝕劑膜作為一遮罩,執行對該多晶矽膜之乾式蝕刻。藉由此乾式蝕刻,形成轉移閘17。後續步驟可以與在已知方法中相同之方式在沒有任何問題的情況下執行。
應注意,儘管未圖解說明於該圖式中,但重設電晶體、放大電晶體、選擇電晶體及類似電晶體之個別閘電極亦可與形成轉移閘17同時形成於此等像素電晶體之預定形成位置處。
如上所述形成一固態影像拾取裝置1。
在該固態影像拾取裝置之上述製造方法中,其中欲形成P-型區域14之第一矽層22兩側有第一碳化矽層21及第三碳化矽層23,從而可在處理期間抑制硼擴散。因此,在P-型區域14與N-型區域13之間的邊界附近的雜質濃度分佈變成一具有一所述陡峭濃度梯度之雜質濃度分佈,且因此,可增大飽和電荷量Qs。另外,形成第二矽層24有可能在一遠離光電二極體之位置處形成一用於離子植入之通膜(例如,一氧化矽膜)。
由於阻止硼往該通道區域中滲透,因此可抑制白斑及黑斑之出現,且進一步地,亦可抑制硼擴散。因此,感測器特性保持穩定。
在上述製程方法中之每一者中,可較佳對第一碳化矽層21、第二碳化矽層52及第三碳化矽層23應用退火處理。應用退火處理給第一碳化矽層21、第二碳化矽層52及第三碳化矽層23提供改良之可靠性,從而有可能亦改良對硼擴散之抑制效應。
[影像拾取裝置之組態之實例]
已對其應用本發明之固態影像拾取裝置之一影像拾取系統之組態之一個實例將參照圖7中所示之方塊圖來加以說明。此影像拾取系統利用本發明各實施例之固態影像拾取裝置。
如圖7中所圖解說明,在影像拾取系統200中,一影像拾取單元201S提供有一固態影像拾取裝置210。此影像拾取單元201S於其一聚光側上提供有一可聚焦一影像之聚光光學單元202S。一信號處理單元203S連接至影像拾取單元201S,該信號處理單元具有一用於處理已在固態影像拾取裝置210處光電轉換成一影像之信號之信號處理電路或類似電路。由信號處理單元203S處理之影像信號可儲存於一影像儲存單元(未顯示)中。在上述影像拾取系統200中,可使用上文闡述於該等實施例中之任一者中之固態影像拾取裝置1作為固態影像拾取裝置210。
在影像拾取系統200中使用根據本發明各實施例之固態影像拾取裝置1。類似於上文,因此可改良空間解析力並抑制混色。因此可獲得高度詳細、高品質影像。因此,可在影像品質方面作出改良。
應注意,影像拾取系統200不僅限於上述組態且根據本發明各實施例之固態影像拾取裝置1可應用於任一影像拾取系統,而不管其組態如何,只要其係一個利用一固態影像拾取裝置之影像拾取系統。
舉例而言,固態影像拾取系統200可呈其製造為一單晶片之一形式,或呈包括封裝在一起且具有一影像拾取功能之影像拾取單元及信號處理單元或光學系統之一模組形式。
本文使用之措詞「影像拾取系統」係指例如一攝影機或一具有一影像拾取功能之可攜式器具。此外,措詞「影像拾取」不僅涵蓋在藉由一般攝影機來拍攝的時候俘獲一影像而且在廣義上涵蓋偵測一指紋或類似物。
<3. 第三實施例> [A] 裝置組態等等
圖14及圖15係圖解說明根據本發明之第三實施例之固態影像拾取裝置1之某些基本部分之視圖。在圖14中,圖解說明一像素P之一區段。另一方面,圖15圖解說明像素P之一電路組態。
如圖14及圖15中所圖解說明,此實施例在某一組態上不同於第一實施例,但包括某些共同部分。對於此等共同部分,在適當之處省去一說明。
如圖14及圖15中所圖解說明,固態影像拾取裝置1具有若干個像素P。此等像素P經配置以使得在一半導體基板11之一平面(x-y平面)中,其中多數個像素P沿一水平方向x及一相對於該水平方向x成直角延伸之垂直方向y中之每一者排列。
每一像素P如圖14及圖15中所圖解說明包括一光電二極體15及一像素電晶體Tr。在此實施例中,像素電晶體Tr如圖15中所圖解說明包括一傳送電晶體17T、一放大電晶體18T、一選擇電晶體19T及一重設電晶體20T,且執行一自光電二極體15讀取信號電荷之操作。
如圖14中所圖解說明,固態影像拾取裝置1具有由一單晶矽半導體製成之半導體基板11,且光電二極體15配置於此半導體基板11上。
在固態影像拾取裝置1中,每一傳送電晶體17T如圖14中所圖解說明配置於半導體基板11之一表面(圖14中之一下表面)上。儘管未顯示於圖14中,但形成像素電晶體Tr之電晶體(該等晶體不同於傳送電晶體17T)亦配置於半導體基板11之該表面上。
如圖14中所圖解說明,一佈線層111經配置以使得其覆蓋像素電晶體,例如傳送電晶體17T。一支撐基板SJ配置於佈線層111之一表面上,該表面位於一與半導體基板11之側相對之側上。
另一方面,在半導體基板11之一後表面(圖14中之一上表面)上,配置一抗反射膜HT。另外,濾色片CF及微透鏡ML針對所有像素P配置於半導體基板11之該後表面上。半導體基板11因此經構造以使得自該後表面之側進入之入射光L俘獲於光電二極體15處。
換言之,此實施例之固態影像拾取裝置1係一「屬於後側照明類型之CMOS影像感測器」。
將闡述每一區段之細節。
(a) 光電二極體15
在固態影像拾取裝置1中,每一光電二極體15如圖14中所圖解說明包括一N-型區域13及一P-型區域14,且經構造以使得入射光L俘獲於一接受表面JS處且經光電轉換以產生並累積信號電荷。
在光電二極體15中,N-型區域13如圖14中所圖解說明配置於係例如一矽半導體之半導體基板11內部,且用作一電荷累積區域。
如圖14中所圖解說明,像素間隔離區域44配置於半導體基板11內部以使得其等將該多數個像素P彼此隔離開。在由其對應像素間隔離區域44界定之每一區域中,配置光電二極體15之N-型區域13。每一像素間隔離區域44皆藉由填充一摻雜有硼(B)之矽半導體層於一配置於半導體基板11中之溝渠中來配置,但其細節將在下文中提及。
在此實施例中,配置於半導體基板11中之溝渠於其一表面處由一碳化矽層25覆蓋,且對應像素間隔離區域44配置於由碳化矽層25覆蓋之溝渠內部。
在光電二極體15中,P-型區域14如圖14中所示配置於N-型區域13之一表面(該表面在一從那裏入射光L進入之側上)上,用作一電洞累積區域,並抑制暗電流之出現。P-型區域14係一摻雜有硼(B)之矽半導體層,且已藉由磊晶生長配置。
儘管未顯示於該圖式中,但另一P-型區域(未顯示)亦配置於光電二極體15中之一位於一與從那裏入射光L進入之表面相對之側上之表面上,且類似於P-型區域14,用作一電洞累積區域且抑制暗電流之出現。
如上所述,光電二極體15經形成以具有一HAD(電洞累積二極體)結構。
在此實施例中,光電二極體15經配置以使得碳化矽層25隔在N-型區域13與P-型區域14之間。
特定而言,碳化矽層25與P-型區域14之一下表面及像素間隔離區域44之一下表面整體形成於光電二極體15中。
碳化矽層25已藉由磊晶生長形成,但其細節將隨後在本文中提及。
如圖15中所圖解說明,光電二極體15在一陽極處接地,且經構造以使得所累積之信號電荷(此實施例中之電子)由一像素電晶體Tr讀取並以一電信號形式輸出至一垂直信號線27。
圖16A及圖16B係在根據本發明之第三實施例中光電二極體15之區段之能帶圖。
圖16A顯示構成光電二極體15之個別元件之一能帶圖,而圖16B係其中該等個別元件連結在一起之光電二極體15之一能帶圖。
如圖16A中所圖解說明,N-型區域13及P-型區域14(其兩者皆由矽(Si)形成)在能帶隙上窄於由碳化矽(SiC)形成之碳化矽層25。特定而言,矽(Si)之能帶隙為1.2 eV且碳化矽(SiC)之能帶隙為約3 eV左右。
如圖16B中所圖解說明,一大的障壁存在於隔在N-型區域13與P-型區域14之間的碳化矽層25之該部分處。然而,在此實施例中,碳化矽層25之寬度非常小以致允許截流子滲透且因隧道效應而變遷。
(b) 像素電晶體Tr
在固態影像拾取裝置1中,每一像素電晶體Tr如圖15中所圖解說明包括傳送電晶體17T、放大電晶體18T、選擇電晶體19T及重設電晶體20T,並執行一自光電二極體15讀取信號電荷之操作。
儘管未顯示於圖14中,但構成像素電晶體Tr之個別電晶體配置於在其上配置佈線層111之半導體基板11之前側上。舉例而言,每一電晶體係一N通道MOS電晶體,且配置於配置於半導體基板11之前側之側上之P-型區域(未顯示)中。每一閘極例如由多晶矽形成。此外,每一電晶體由佈線層111覆蓋。
在像素電晶體Tr中,傳送電晶體17T經構造以使得如圖15中所圖解說明,產生於光電二極體15處之信號電荷轉移至一浮動擴散區FD。
特定而言,如圖15中所圖解說明,傳送電晶體17T配置於光電二極體15之一陰極與浮動擴散區FD之間。一傳送線26電連接至傳送電晶體17T之閘極。在一傳送信號TG自傳送線26施加至傳送電晶體17T之閘極之後,累積於光電二極體15處之信號電荷轉移至浮動擴散區FD。
在像素電晶體Tr中,放大電晶體18T經構造以使得如圖15中所圖解說明,放大並輸出一已在浮動擴散區FD處由電荷轉換成一電壓之電信號。
特定而言,如圖15中所圖解說明,放大電晶體18T之閘極電連接至浮動擴散區FD。此外,放大電晶體18T之汲極電連接至一電源線Vdd,且其源極電連接至選擇電晶體19T。當選擇電晶體19T經選擇以呈一「導通」狀態時,一恆定電流自一恆定電流源1施加至放大電晶體18T以致放大電晶體18T用作一源跟隨器。當一選擇信號供應至選擇電晶體19T時,一在浮動擴散FD處由電荷轉換成一電壓之電信號因此在放大電晶體18T處被放大。
如圖15中所圖解說明,像素電晶體Tr經構造以使得在輸入一選擇信號之後,選擇電晶體19T向垂直信號線27輸出自放大電晶體18T輸出之電信號。
特定而言,如圖15中所圖解說明,選擇電晶體19T之閘極連接至一經由其供應一選擇信號之位址線18。當供應該選擇信號時,選擇電晶體19T被帶進一「導通」狀態以致如上所述由放大電晶體18T放大之輸出信號輸出至垂直信號線27。
在像素電晶體Tr中,重設電晶體20T經構造以重設放大電晶體18T之閘極電位,如圖15中所圖解說明。
特定而言,如圖15中所圖解說明,重設電晶體20T之閘極電連接至一經由其供應一重設信號之重設線29。此外,重設電晶體20T之汲極電連接至電源線Vdd,且其源極電連接至浮動擴散區FD。當該重設信號自重設線29供應至重設電晶體20T之閘極時,重設電晶體20T經由浮動擴散區FD將放大電晶體18T之閘極電位重設至一電源電壓。
在上文中,傳送線26、位址線28及重設線29之個別佈線經路由以使得其等連接至沿水平方向(列方向)x排列之該多數個像素P之個別電晶體之閘極。因此,上述個別電晶體之操作係對於該單列中之像素P同時執行。
(c) 佈線層111
在固態影像拾取裝置1中,佈線層111包括佈線111h及一絕緣層111z,如圖14中所圖解說明。佈線層111經形成以使得在佈線層111z中,佈線111h電連接至該等個別元件。
在此實施例中,個別佈線111h形成呈一堆疊組態以使得其等用作諸如圖15中所圖解說明之傳送線26、位址線28、垂直信號線27及重設線29之個別佈線。
舉例而言,佈線111h係由一導電金屬材料(例如鋁)形成於佈線層111中。另一方面,絕緣層111z係例如由一絕緣材料(例如氧化矽)形成。
此外,支撐基板SJ配置於佈線層111之一側上,該一側位於一與半導體基板11位於那裏之側相對之側上。舉例而言,一由一具有一幾百微米之厚度之矽半導體形成之基板配置為支撐基板SJ。
(d) 抗反射膜HT
在固態影像拾取裝置1中,抗反射膜HT如圖14中所圖解說明配置於半導體基板11之後側(圖14中之上側)上,該後側位於一與在其上配置例如佈線層111之個別元件之前側(圖14中之下側)相對之側上。
抗反射膜HT經構造以阻止自半導體基板11之後側之側進入之入射光L在半導體基板11之後側處被反射。換言之,抗反射膜HT係藉由適當地選擇其材料及膜厚度而形成以使得一抗反射功能可由光學干涉動作展示。在此實施例中,較佳由一具有一高折射率之材料來形成抗反射膜HT。尤其較佳由一折射率為1.5或更高之材料來形成抗反射膜HT。
舉例而言,一絕緣膜(例如一氮化矽膜)可配置為抗反射膜HT。作為一替代形式,一例如一氧化鉿膜(HfO2 膜)之絕緣膜)(折射率,n: 2.0)亦可配置為抗反射膜HT。
(f) 其他
如圖14中所圖解說明,濾色片CF及微透鏡ML額外地配置於半導體基板11之後側之側上,特定而言配置於抗反射膜HT之上側上。
濾色片CF包括例如一紅色過濾層(未顯示)、一綠色過濾層(未顯示)及一藍色過濾層(未顯示)。該三種原色之個別過濾層配置呈一拜耳陣列以使得其等對應於該等個別像素P。換言之,濾色片CF經組態以使得不同色彩之光在沿水平方向x及垂直方向y彼此毗鄰排列之各別像素P之間透射。
微透鏡ML配置對應於該等個別像素P。微透鏡ML係向外彎曲呈一凸面形式之凸透鏡,且經形成以使得入射光L聚集至各別像素P之光電二極體15上。微透鏡ML係例如由一有機材料(例如一樹脂)形成。
[B] 製造方法
將作出一關於固態影像拾取裝置1之一製造方法之某些基本部分之說明。
圖17A至17I顯示根據本發明之第三實施例中之固態影像拾取裝置1之製造方法。
類似於圖14,圖17A至17I顯示區段且藉由經歷各別圖式中所圖解說明之步驟,製造圖14等等中所圖解說明之固態影像拾取裝置1。
(a) 對半導體基板11之薄化
如圖17A中所圖解說明,首先執行對半導體基板11之薄化。
在此實施例中,該薄化係如圖17A中所圖解說明藉由下述方式執行:將支撐基板SJ1結合於半導體基板11之前側(圖17A至17I中之下側)上並隨後自半導體基板11之後側(圖17A至17I中之上側)移除半導體基板11之部分。
藉由執行例如RIE(反應性離子蝕刻)處理或CMP(化學機械拋光)處理,使半導體基板11薄化具有一自3至7 μm之厚度。
(b) 溝渠TR之形成
如圖17B中所圖解說明,接下來在半導體基板11中形成溝渠TR。
在此實施例中,溝渠TR係如圖17B中所圖解說明藉由下述方式形成:移除其中欲形成像素間隔離區域44(參見圖14)之部分處之半導體基板11。
特定而言,藉由光微影在半導體基板11上形成一抗蝕劑圖案(未顯示)以使得半導體基板11之後側曝露於對應於該多數個像素P之邊界之區處但在其餘區處被覆蓋。然後使用該抗蝕劑圖案作為一遮罩選擇性地蝕刻掉半導體11之部分以形成溝渠TR。
在此實施例中,溝渠TR係如圖17B中所圖解說明藉由下述方式形成:使半導體基板11經歷蝕刻處理直至曝露支撐基板SJ1之前側為止。
(c) 碳化矽層25之形成
如圖17C中所圖解說明,接下來形成碳化矽層25。
在此實施例中,碳化矽層25經形成以使得如圖17C中所圖解說明,覆蓋其中形成有溝渠TR之後側(上側)。換言之,碳化矽層25經形成以整體覆蓋其中欲形成像素間隔離區域44之溝渠TR之內表面、以及其中欲形成光電二極體15之N-型區域之區處之半導體基板11之上側。
在此實施例中,碳化矽層25係藉由下述方式配置:藉由磊晶生長使SiC形成為一膜。舉例而言,碳化矽層25經配置以使得其碳(C)濃度之範圍從0.1到0.5原子%且其膜厚度之範圍從5到10 μm。
舉例而言,碳化矽層25可在以下磊晶生長條件下配置。
-進料氣體:二氯甲矽烷(SiCl2 H2 : DCS)、氯化氫(HCl)、單甲基矽烷(SiH3 CH3: MMS)及氫(H2 )
-用於磊晶生長之大氣之壓力:6.7 kPa
-二氯甲矽烷(SiCl2 H2 : DCS)之流率:80 cm3 /min
-氯化氫(HCl)之流率:15 cm3 /min
-單甲基矽烷(SiH3 CH3: MMS)之流率:50 cm3 /min
-氫(H2 )之流率:20 L/min
-基板溫度:700℃
應注意,上述磊晶生長條件可設定處於以下範圍內。
-膜形成大氣之壓力:2.67 kPa至10.7 kPa
-二氯甲矽烷(DCS)之流率:40 cm3 /min至120 cm3 /min
-氯化氫(HCl)之流率:5 cm3 /min至125 cm3 /min
-單甲基矽烷(MMS)之流率:25 cm3 /min至100 cm3 /min
-氫(H2 )之流率:10 L/min至30 L/min
-基板溫度:650℃至1,000℃
(d)P-型區域14及像素間隔離區域44之形成
如圖17D中所圖解說明,接下來形成P-型區域14及像素間隔離區域44。
在此製造方法中,如圖17D中所圖解說明,在其中欲形成構成同一光電二極體15之N-型區域13之每一區處,於半導體基板11之後側上,形成構成每一光電二極體15之P-型區域14。該後側已在該區處由碳化矽層25(參見圖14)覆蓋。
同時,亦在形成於半導體基板11中且由碳化矽層25覆蓋之溝渠TR內部形成像素間隔離區域44。
在此實施例中,P-型區域14及像素間隔離區域44係藉由磊晶生長使摻雜硼(B)之矽半導體形成為一膜而形成。特定而言,摻雜硼(B)之矽半導體形成為一膜以使得其中欲形成光電二極體15之N-型區域13之溝渠TR之內壁及半導體基板11之上側之區被整體覆蓋。
舉例而言,P-型區域14及像素間隔離區域44可經形成以具有一3×1016 原子/cm3 之硼(B)濃度。
舉例而言,P-型區域14及像素間隔離區域44可在以下磊晶生長條件下配置。
-進料氣體:單矽烷(SiH4 )、乙硼烷(B2 H6 )、氯化氫(HCl)及氫(H2 )
-用於磊晶生長之大氣之壓力:1.33 kPa
-單矽烷(SiH4 )之流率:150 cm3 /min
-乙硼烷(B2 H6 )之流率:5 cm3 /min(乙硼烷(B2 H6 )之濃度設定為100 ppm/H2 )
-氯化氫(HCl)之流率:150 cm3 /min
-氫(H2 )之流率:20 L/min
-基板溫度:750℃
應注意,上述磊晶生長條件可設定處於以下範圍內。
-用於磊晶生長之大氣之壓力:0.67 kPa至2.67 kPa
-單矽烷(SiH4 )之流率:100 cm3 /min至200 cm3 /min
-乙硼烷(B2 H6 )之流率:1 cm3 /min至10 cm3 /min
-氯化氫(HCl)之流率:100 cm3 /min至200 cm3 /min
-氫(H2 )之流率:10 L/min至30 L/min
-基板溫度:700℃至1,000℃
(e) 抗反射膜HT之形成
接下來如圖17E中所圖解說明形成抗反射膜HT。
在此實施例中,抗反射膜HT經形成以使得如圖17E中所圖解說明,其覆蓋P-型區域14之上側。
舉例而言,抗反射膜HT係藉由根據一CVD(化學氣相沈積)方法沈積一絕緣膜而形成。
(f) 支撐基板SJ2之配置
如圖17F中所圖解說明,接下來配置一支撐基板SJ2。
在此製造方法中,將支撐基板SJ2結合至其中配置P-型區域14及抗反射膜HT之半導體基板11之後側之側,如圖17F中所圖解說明。隨後,分開結合於半導體基板11之前側上之支撐基板SJ1,該前側與其中配置P-型區域14及抗反射層HT之後側相對。
因此,半導體基板11被帶進其曝露於其前側處之一狀態。
(g) N-型區域13及傳送電晶體17T之形成
接下來如圖17G中所圖解說明形成N-型區域13及傳送電晶體17T。
在此製造方法中,如圖17G中所圖解說明,藉由自半導體基板11之曝露前側之側往半導體基板11中引入一N-型雜質來形成N-型區域13。
在半導體基板11之曝露前側中之P-型區域(未顯示)上形成閘極絕緣膜及閘電極之後,藉由離子植入引入一N-型雜質以形成源極-汲極區域,藉此形成傳送電晶體17T。構成像素電晶體Tr且包括傳送電晶體17T之電晶體亦同樣地配置於半導體基板11之前側上。
(h) 佈線層111之形成
接下來如圖17H中所圖解說明形成佈線層111。
在此製造方法中,在其中配置諸如傳送電晶體17T之元件之半導體基板11之前側上,形成佈線層111。特定而言,佈線層111經形成以使得連接至該等個別元件之觸點及連接至該個別元件之佈線111h由絕緣膜111z覆蓋。
(i) 支撐基板SJ之配置
如圖17I中所圖解說明,接下來配置一支撐基板SJ。
在此製造方法中,如圖17I中所圖解說明,將支撐基板SJ結合至在其上配置佈線層111之半導體基板11之前側之側。隨後,將支撐基板SJ2與其中配置抗反射層HT之半導體基板11之後側之側分開。
因此,半導體基板11被帶進其曝露於其後側處之一狀態。
(j) 濾色片CF及微透鏡ML之形成
接下來如圖14中所圖解說明形成濾色片CF及微透鏡ML。
在此製造方法中,如圖14中所圖解說明,濾色片CF配置於其中配置抗反射膜HT之半導體基板11之後側之側上。微透鏡MF配置於濾色片CF上。
藉由執行如上文所述之製造方法,完成固態影像拾取1作為一屬於後側照明類型之CMOS影像感測器。
[C] 結論
如上文已闡述,每一光電二極體15之P-型區域14在此實施例中皆由摻雜硼之矽半導體形成。在光電二極體15中,碳化矽層25配置於P-型區域14與N-型區域13之間。此碳化矽層25阻斷摻雜於P-型區域14中之硼之擴散(參見圖14)。
在此實施例中,包括光電二極體15之該多數個像素P配置於半導體基板11中,且像素間隔離區域44配置於該多數個光電二極體15之間。在此實施例中,N-型區域13形成於半導體基板11內部,且像素間隔離區域44由摻雜硼之矽半導體形成。此外,碳化矽層25經配置以使得其隔在N-型區域13與像素間隔離區域44之間,且因此,阻斷摻雜於像素間隔離區域44中之硼之擴散(參見圖14)。
硼(B)之擴散因此受碳化矽層25抑制。
因此可在此實施例中甚至在經歷該等各別製造步驟之後亦在每一光電二極體15中保持一陡峭PN接面,並實現飽和電荷量之增大。
另外,該後側之一上部分亦係一具有一陡峭PN接面之HAD結構的,以使得可全面達成對過量電子之釘紮以減少白斑及暗電流之出現。
在此實施例中,碳化矽層25已藉由磊晶生長而形成,且因此,與半導體基板11晶格匹配。在構成光電二極體15之N-型區域13與P-型區域14之間的介面處,不存在應變,儘管其原本因晶格常數之差而產生。因此,抑制應力誘發晶體瑕疵之出現。因此可減少白斑及暗電流之出現。
此外,P-型區域14及像素間隔離區域44已藉由磊晶生長而形成,且因此,與碳化矽層25晶格匹配。因像素間隔離區域44已如上所述藉由磊晶生長而形成,故抑制晶體瑕疵之出現。因而,可減少白斑及暗電流之出現。因此,可改良影像品質。
在此實施例中,固態影像拾取裝置1對應於本發明之固態影像拾取裝置。此外,此實施例中之光電二極體15對應於本發明中之光電二極體。此實施例中之半導體基板11對應於本發明中之半導體基板。此實施例中之N-型區域13對應於本發明中之N-型區域。此實施例中之P-型區域對應於本發明中之第一矽層。此實施例中之像素間隔離區域44對應於本發明中之第二矽層(元件隔離區域)。此實施例中之碳化矽層25之部分(該等部分介於N-型區域13與P-型區域14之間)對應於本發明中之第一碳化矽層。此實施例中之碳化矽層25之部分(該等部分配置於像素間隔離區域44之側壁及底壁上)對應於本發明之第二碳化矽層(元件隔離區域)。此實施例中之佈線層111對應於本發明中之佈線層。此實施例中之佈線111h對應於本發明中之佈線。此實施例中之絕緣層111z對應於本發明中之絕緣層。
<4. 第四實施例> [A] 裝置組態等等
圖18係一顯示在根據本發明之第四實施例中一固態影像拾取裝置1之某些基本部分之視圖。類似於圖14,圖18顯示一像素P之一區段。
如圖18中所圖解說明,此實施例在每一像素間隔離44之組態上不同於第三實施例。除了此事及與此事相關聯的彼等事,此實施例類似於第三實施例。對於共同特徵,本文中省去其說明。
如圖18中所圖解說明,每一像素間隔離區域44經配置而自一半導體基板11之後側(上側)朝其前側(下側)延伸到中途。換言之,每一像素間隔離區域44並非經形成以自其後側(上側)至其前側(下側)延伸穿過半導體基板11。
如圖18中所圖解說明,除傳送電晶體17T以外的像素電晶體配置於對應於其中形成像素間隔離區域44之區域之區處之半導體基板11之前側上。舉例而言,配置放大電晶體18T。
[B] 製造方法
將作出一關於固態影像拾取裝置1之一製造方法之某些基本部分之說明。
圖19A及圖19B係圖解說明在根據本發明之第四實施例中固態影像拾取裝置1之製造方法之視圖。
類似於圖18,圖19A及圖19B顯示區段,且藉由經歷各別圖式中所圖解說明之步驟,製造圖18中所圖解說明之固態影像拾取裝置1。
(a) 對半導體基板11之薄化
如圖19A中所圖解說明,首先執行對半導體基板11之薄化。
在此製造方法中,以一與在第三實施例中類似之方式執行該薄化。
(b) 溝渠TR之形成
如圖19B中所圖解說明,接下來在半導體基板11中形成溝渠TR。
在此製造方法中,如圖19B中所圖解說明,藉由移除其中欲形成像素間隔離區域44(參見圖18)之部分處之半導體基板11,形成溝渠TR。
在此實施例中,每一溝渠TR之深度經設定以使得溝渠TR自半導體基板11之後側(上側)朝其前側(下側)延伸到中途。換言之,半導體基板11不經受蝕刻處理達到這樣的程度以致曝露支撐基板SJ1之前側。
舉例而言,每一溝渠經形成以使得半導體基板11之厚度之範圍在溝渠TR之該部分處從0.1到1 μm。
(c) 個別元件之形成
接下來藉由形成該等個別元件,如圖18中所圖解說明完成固態影像拾取裝置1。
在此實施例中,該等個別元件係以一與在第三實施例(參見圖17C至17I)中類似之方式形成。
[C] 結論
如上文已闡述,此實施例中之碳化矽層25經構造以使得類似於第一實施例,阻斷摻雜於P-型區域14及像素間隔離區域44中之硼之擴散(參見圖18)。
因此可在此實施例中甚至在經歷該等各別製造步驟之後亦在每一光電二極體15中保持一陡峭PN接面,並實現飽和電荷量之增大。
另外,該後側之一上部分亦係一具有一陡峭PN接面之HAD結構的,以使得可全面達成對過量電子之釘紮以減少白斑及暗電流之出現。
在此實施例中,像素間隔離區域44經配置而自從那裏入射光L進入之半導體基板11之後側(上側)朝其前側(下側)延伸到中途。除上述有利效應以外,可自由地設定構成每一像素P之個別元件之配置。換言之,可提高其佈置之自由度。
應注意,類似於第三實施例,構成此實施例之個別元件對應於本發明中之個別元件。
<5. 第五實施例> [A] 裝置組態等等
圖20係一顯示在根據本發明之第五實施例中一固態影像拾取裝置1之某些基本部分之視圖。類似於圖14,圖20顯示一像素P之一區段。
如圖20中所圖解說明,P-型區域14及像素間隔離區域44在此實施例中分別形成處於不同雜質濃度下。除了此事及與此事相關聯的彼等事,此實施例類似於第三實施例。對於共同特徵,本文中省去其說明。
在此實施例中,像素間隔離區域44係以一與在第三實施例中類似之方式形成。然而,P-型區域14經形成以具有一高於像素間隔離區域44之雜質濃度。
[B]製造方法
將作出一關於固態影像拾取裝置1之一製造方法之某些基本部分之說明。
[B-1] 製造方法1
圖21A及圖21B係圖解說明在根據本發明之第五實施例中固態影像拾取裝置1之製造方法之一個實例之視圖。
類似於圖20,圖21A及圖21B顯示區段,且藉由經歷該等各別圖式中所圖解說明之步驟,製造圖20中所圖解說明之固態影像拾取裝置1。
(a) P-型矽層44P之形成
如圖21A中所圖解說明,首先形成一P-型矽層44P。
在此製造方法中,藉由以一與在圖17D中對於第三實施例所示類似之方式使摻雜硼(B)之矽半導體經歷磊晶生長來形成P-型矽層44P。
特定而言,P-型矽層44P形成於其中欲形成構成光電二極體15之N-型區域13之區處之半導體基板11之後側上(參見圖20)。該後側已在該等區處由碳化矽層25覆蓋。
同時,P-型矽層P亦形成於形成於半導體基板11中且由碳化矽層25覆蓋之溝渠TR(參見圖17B至17D)內部。
(b) 對雜質之離子植入
接下來如圖21B中所圖解說明執行對一P-型雜質之離子植入。
在此製造方法中,藉由離子植入往其中欲形成P-型區域14之部分中之P-型矽層44P中引入硼(B)(參見圖21A)。
特定而言,藉由離子植入以30 eV之植入能量引入BF2 以達成一5×1013 原子/cm2 之植入劑量。
因此,P-型區域14形成處於一高於像素間隔離區域44之雜質濃度下。
應注意,離子植入條件不僅限於上述條件且可根據需要選擇性地確定,只要可給P-型區域14提供一高於像素間隔離區域44之雜質濃度。
(c) 個別元件之形成
接下來藉由形成該等個別元件,如圖20中所圖解說明完成固態影像拾取裝置1。
在此製造方法中,以一與在第三實施例(參見圖17C至17I)中類似之方式形成該等個別元件。
[B-2] 製造方法2
此實施例之固態影像拾取裝置1之製造方法不僅限於上述一個實例。
圖22A及圖22B係圖解說明在根據本發明之第五實施例中固態影像拾取裝置1之製造方法之另一實例之視圖。
類似於圖20,圖22A及22B顯示區段,且藉由經歷該等各別圖式中所圖解說明之步驟,製造圖20中所圖解說明之固態影像拾取裝置1。
(a) 碳化矽層25之表面曝露
在此實施例中,碳化矽層25之表面可在如圖21A中所圖解說明形成P-型矽層44P之後如圖22A中所圖解說明曝露。
可藉由根據RIE處理或CMP處理移除P-型矽層44P之一上部分來曝露碳化矽層25之表面。
(b) P-型區域14之形成
接下來如圖22B中所圖解說明形成P-型區域14。
在此製造方法中,藉由將摻雜硼(B)之矽半導體沈積為一膜以使得給P-型區域14提供一高於P-型矽層44P之雜質濃度來形成P-型區域14。特定而言,藉由增大乙硼烷(B2 H6 )之氣體流率來形成P-型區域14。
(c) 個別元件之形成
接下來藉由形成該等個別元件,如圖20中所圖解說明完成固態影像拾取裝置1。
在此製造方法中,以一與在第三實施例(參見圖17C至17I)中類似之方式形成該等個別元件。
[C] 結論
如上文已闡述,此實施例中之碳化矽層25經構造以使得類似於第一實施例,阻斷摻雜於P-型區域14及像素間隔離區域44中之硼之擴散(參見圖20)。
因此可在此實施例中甚至在經歷該等各別製造步驟之後亦在每一光電二極體15中保持一陡峭PN接面,並實現飽和電荷量之增大。
在此實施例中,P-型區域14具有一高於像素間隔離區域44之硼之雜質濃度。因此,可在此實施例中增強釘紮效應,從而有可能進一步減少白斑及暗電流之出現。因此,可改良影像品質。
應注意,類似於第三實施例,構成此實施例之個別元件對應於本發明中之個別元件。
<6. 第六實施例> [A] 裝置組態等等
圖23係一顯示在根據本發明之第六實施例中一固態影像拾取裝置1之某些基本部分之視圖。類似於圖14,圖23顯示一像素P之一區段。
如圖23中所圖解說明,此實施例中之每一光電二極體15之一接受表面JSf在形狀上不同於第三實施例中之接受表面。除了此事及與此事相關聯的彼等事,此實施例類似於第三實施例。對於共同特徵,本文中省去其說明。
如圖23中所圖解說明,每一光電二極體15中之一P-型區域14之一上表面經形成以使得類似於一微透鏡ML,該上表面於其一中心部分處界定一朝一在其上入射光L進入之側凸出之彎曲表面。另外,每一光電二極體15中之一N-型區域14之一上表面經形成以使得該上表面於其一中心部分處界定一朝在其上入射光L進入之側凸出之彎曲表面。
一碳化矽層(折射率,n: 2.63)經配置以覆蓋該彎曲表面。
換言之,P-型區域14、碳化矽層25及N-型區域13各自形成呈一透鏡之形狀以使得入射光L聚集至一中心。
[B] 製造方法
將作出一關於上述固態影像拾取裝置1之一製造方法之某些基本部分之說明。
圖24A及圖24B係圖解說明在根據本發明之第六實施例中固態影像拾取裝置1之製造方法之視圖。
類似於圖23,圖24A至24G顯示區段,且藉由經歷該等各別圖式中所圖解說明之步驟,製造圖23中所圖解說明之固態影像拾取裝置1。
(a) 抗蝕劑圖案PR之形成
首先如圖24A中所圖解說明形成一抗蝕劑圖案PR。
在此製造方法中,如在圖17B中對於第三實施例所圖解說明在形成抗蝕劑圖案PR之前形成溝渠TR。隨後,如圖24A中所圖解說明,形成抗蝕劑圖案PR以使得其對應於光電二極體15之接受表面JSf之形狀。
特定而言,在一光阻劑膜(未顯示)形成於一其中已形成溝渠TR之半導體基板11之上側上且以圖案方式處理之後,應用回流處理以引起一熱變形以使得形成抗蝕劑圖案PR。
此時,抗蝕劑圖案PR經形成以使得光阻劑膜留在該等各別溝渠之底壁上。
(b) 半導體基板11之處理
接下來如圖24B中所圖解說明處理半導體基板11。
在此製造方法中,藉由使用抗蝕劑圖案PR作為一遮罩並執行回蝕處理,處理半導體基板11以使得其中欲形成N-型區域13之其部分之上表面形成為彎曲表面。
特定而言,用O2 氣體來執行蝕刻處理以曝露側向於溝渠TR之區處之半導體基板11。然後添加CF4 基氣體以使矽之蝕刻速率與抗蝕劑材料之蝕刻速率彼此接近,並繼續該蝕刻處理。以此方式,半導體基板1在其中欲形成N-型區域13之其部分之上表面處形成為彎曲表面。
(c) 對抗蝕劑圖案PR之移除
接下來如圖24C中所圖解說明執行對抗蝕劑圖案PR之移除。
根據此製造方法,在上述步驟中移除仍留在該等各別溝渠TR之底壁上之抗蝕劑圖案PR。
(d) 碳化矽層25之形成
如圖24D中所圖解說明,接下來形成碳化矽層25。
在此製造方法中,碳化矽層25經形成以使得以一與圖17C中所圖解說明類似之方式,覆蓋其中形成溝渠TR之半導體基板11之後側(上側)。換言之,藉由磊晶生長來形成碳化矽層25以覆蓋其中欲形成像素間隔離區域44之溝渠TR之內壁、以及其中欲形成光電二極體15之N-型區域之半導體基板11之部分之上表面。
(e) P-型區域14及像素間隔離區域44之形成
如圖24E中所圖解說明,接下來形成P-型區域14及像素間隔離區域44。
在此製造方法中,在其中欲形成N-型區域13之每一區處,於半導體基板11之後側上,以一與圖17D中所圖解說明類似之方式,形成P-型區域14。該後側已在該區處由碳化矽層25覆蓋。
同時,亦在形成於半導體基板11中且由碳化矽層25覆蓋之溝渠TR內部形成像素間隔離區域44。
在此實施例中,P-型區域14及像素間隔離區域44係藉由下述方式形成:藉由磊晶生長將摻雜硼(B)之矽半導體形成為一膜。
(f) 抗反射膜HT之形成
接下來如圖24F中所圖解說明形成抗反射膜HT。
在此製造方法中,形成抗反射膜HT以使得以一與圖17E中所圖解說明類似之方式,其覆蓋半導體基板11於其中配置P-型區域14之其後側處。
因此,抗反射膜HT經形成以使其表面沿半導體基板11之彎曲表面上下彎曲。
(g) 抗反射膜HT之平坦化
如圖24G中所圖解說明,接下來平坦化抗反射膜HT之表面。
在此製造方法中,應用CMP處理或回蝕處理來平坦化抗反射膜HT於其位於與半導體基板11位於那裏之側相對之側上之彎曲表面處。
(h) 個別元件之形成
接下來藉由形成該等個別元件,如圖23中所圖解說明完成固態影像拾取裝置1。
在此製造方法中,以一與在第三實施例(參見圖17E至17I)中類似之方式來形成該等各別元件。
[C] 結論
如上文已闡述,此實施例中之碳化矽層25經構造以使得類似於第一實施例,阻斷摻雜於P-型區域14及像素間隔離區域44中之硼擴散(參見圖23)。
因此,可在此實施例中,甚至在經歷該等各別製造步驟之後亦在每一光電二極體15中保持一陡峭PN接面,並實現飽和電荷量之增大。
在此實施例中,P-型區域14、碳化矽層25及N-型區域13皆經形成以使得其等之一側之經由其入射光L進入之中心,較其等之一側之周邊更接近於一在其上入射光進入光電二極體之側,以將入射光L聚集至光電二極體之一中心。因此,可改良影像品質。
應注意,類似於第三實施例,構成此實施例之個別元件對應於本發明中之個別元件。
[D] 修改
圖25係一顯示在一對根據本發明之第六實施例之第一修改中一固態影像拾取裝置之某些基本部分之視圖。另一方面,圖26係一顯示在一對根據本發明之第六實施例之第二修改中一固態影像拾取裝置之某些基本部分之視圖。類似於圖14,圖25及圖26分別顯示像素P之區段。
如圖25及圖26中所圖解說明,每一光電二極體15之接受表面JSf可形成為包括瑕疵之此一形狀。
特定而言,可形成每一光電二極體15以使得如圖25中所圖解說明,P-型區域14之一上部分之一區段於其一中心部分處具有一朝一從那裏入射光L進入之側凸出之三角形狀。另外,光電二極體15亦可經形成以使得N-型區域13之一上部分之一區段於其一中心部分處具有一朝從那裏入射光L進入之側凸出之三角形狀。
作為一替代形式,亦可形成每一光電二極體15以使得如圖26中所圖解說明,P-型區域14之一上部分之一區段於其一中心部分處具有一朝一從那裏入射光L進入之側凸出之梯形形狀。另外,光電二極體15亦可經形成以使得N-型區域13之一上部分之一區段於其一中心部分處具有一朝從那裏入射光L進入之側凸出之梯形形狀。
在此等修改中,如上所述根據需要調整回流處理之條件以配置抗蝕劑圖案以使得其等分別與接受表面JSf之形狀相一致。藉由以與上文所述類似之方式經由作為遮罩之抗蝕劑圖案來執行回蝕處理,可形成上述形狀。
<7.其他>
在實踐本發明時,本發明不僅限於上述實施例,且可採用各種各樣的修改。
舉例而言,即使每一元件之導電率類型相反,本發明仍適用。例如,在磊晶生長N-型矽之後,添加PH3 以代替B2 H6
另外,可根據需要將上述個別實施例組合在一起。
在上文中,作出關於在其每一者下硼(B)之擴散由該碳化矽層阻止之情形之說明。然而,本發明不應僅限於此一碳化矽層。
可配置一矽鍺(SiGe)層以代替此一碳化矽層。亦可在使用此一矽鍺(SiGe)層時阻止硼(B)之擴散。特定而言,硼(B)結合至鍺(Ge)以形成一Ge-B對結構,而且,Ge本身幾乎不擴散。因此,可抑制B之擴散(參見,N.Moriya et al., 「Boron Diffusion in Strained Si1-x -Gex Epitaxial Layers,」Phys.Rev.Lett.,883(1993))。
在一矽鍺(SiGe)層中,Ge濃度越高,對B之擴散阻止效應就越高但更傾向於出現晶體瑕疵(參見,N.Moriya et al.,「Boron Diffusion in Strained Si1-x -Gex Epitaxial Layers,」Phys.Rev.Lett.,883(1993))。因此,適合例如在下述條件下形成一矽鍺(SiGe)層。
[SiGe層之形成條件]
-進料氣體:SiH4 、H2 、SiCl2 H2 、GeH4
-基板溫度:750℃
-壓力:1.33kPa
-Ge濃度:20原子%
-膜厚度:10nm
本申請案含有與分別於2010年6月3日及2009年9月2日在日本專利局提出申請之日本優先權專利申請案JP 2010-127690及2009-202301中所揭示之標的物相關之標的物,該等申請案之全部內容以引用方式據此併入本文中。
熟習此項技術者應理解,可視設計要求及其他因素而作出各種修改、組合、子組合及變更,只要其等在隨附申請專利範圍及其等效範圍之範疇內。
1...固態影像拾取裝置
11...半導體基板
12...P-型掩埋區域
13...N-型區域
14...P-型區域
15...光電二極體
16...閘極絕緣膜
17...轉移閘
17T...傳送電晶體
18T...放大電晶體
19T...選擇電晶體
20T...重設電晶體
21...第一碳化矽(SiC)層
22...第一矽層
23...第三碳化矽層
24...第二矽層
25...碳化矽層
26...傳送線
27...垂直信號線
28...位址線
29...重設線
31...氧化物膜
32...第一矽層
33...絕緣膜
41...像素間隔離區域
42...像素間隔離區域
43...像素間隔離區域
44...像素間隔離區域
44P...P-型矽層
51...像素間隔離溝渠
52...第二碳化矽層
53...矽層
100...固態影像拾取裝置
101...矽基板
102...層間絕緣膜
103...多層佈線層
104...濾色片
105...透鏡
111...佈線層
111h...佈線
111z...絕緣層
200...影像拾取系統
201...矽基板
201S...影像拾取單元
202...P-型掩埋區域
202S...聚光光學單元
203...N-型區域
203S...信號處理單元
204...P-型區域
210...固態影像拾取裝置
211...閘極絕緣膜
212...傳輸閘極
221...P-型元件隔離區域
231...氧化物膜MOSFET
232...氧化物膜
310...nMOSFET
311...磊晶生長矽層
312...SiC層
313...硼擴散區域
401...異質接面雙極電晶體
421...P-型矽基板
422...溝渠隔離區域
423...副集極層
424...第二集極擴散層
425...元件隔離區域
426...N+ -型集極引線層
427...含碳矽鍺層
428...氧化物膜
429...開口
430...射極電極
431...射極層
CF...濾色片
HT...抗反射膜
JS...接受表面
JSf...接受表面
L...入射光
ML...微透鏡
P...像素
PD...光電二極體
PR...抗蝕劑圖案
SJ...支撐基板
SJ1...支撐基板
SJ2...支撐基板
TG...傳送信號
Tr...電晶體
TR...溝渠
圖1係一圖解說明一根據本發明之一第一實施例之固態影像拾取裝置之組態之一第一實例之示意性剖面組態圖;圖2係一圖解說明根據本發明之第一實施例之固態影像拾取裝置之組態之一第二實例之示意性剖面組態圖;
圖3A至3G係圖解說明一根據本發明之一第二實施例之固態影像拾取裝置之一製造方法之一第一實例之示意性剖面製程圖;
圖4A至4E係圖解說明根據本發明之第二實施例之固態影像拾取裝置之該製造方法之一第二實例之示意性剖面製程圖;
圖5A及圖5B係圖解說明該固態影像拾取裝置之該製造方法之第二實例之一修改之示意性剖面製程圖;
圖6A至6D係圖解說明根據本發明之第二實施例之固態影像拾取裝置之該製造方法之一第三實例之示意性剖面製程圖;
圖7係一圖解說明一已對其應用一根據本發明各實施例之固態影像拾取裝置之影像拾取系統之一個實例之方塊圖;
圖8係一圖解說明一具有前側照明結構之已知固態影像拾取裝置之一個實例之片段剖面圖;
圖9A及圖9B係圖解說明一具有前側照明結構之已知CMOS固態影像拾取裝置中之掩埋感測器之一製造方法之剖面製程圖;
圖10A係一圖解說明一具有一利用一SiC層之陡峭通道與暈環剖面結構之nMOSFET之示意性剖面組態圖,且圖10B及圖10C係圖解說明該nMOSFET之剖面攝影圖;
圖11係硼沿一深度方向之一濃度分佈圖;
圖12係一垂直電場沿一深度方向之一分佈圖;
圖13係一圖解說明一用於抑制在一異質接面雙極電晶體中硼往一SiC層中擴散之方法之示意性剖面組態圖;
圖14係在一根據本發明之第三實施例中一固態影像拾取裝置之一片段剖面圖;
圖15係在根據本發明之第三實施例中該固態影像拾取裝置之一片段方塊圖;
圖16A及圖16B係根據本發明之第三實施例中之一光電二極體之區段之能帶圖;
圖17A至17I係圖解說明在根據本發明之第三實施例中該固態影像拾取裝置之一製造方法之視圖;
圖18係在一根據本發明之第四實施例中一固態影像拾取裝置之一片段剖面圖;
圖19A及圖19B係圖解說明在根據本發明之第四實施例中該固態影像拾取裝置之一製造方法之視圖;
圖20係在一根據本發明之第五實施例中一固態影像拾取裝置之一片段剖面圖;
圖21A及圖21B係圖解說明在根據本發明之第五實施例中該固態影像拾取裝置之一製造方法之一第一實例之視圖;
圖22A及圖22B係圖解說明在根據本發明之第五實施例中該固態影像拾取裝置之該製造方法之一第二實例之視圖;
圖23係在一根據本發明之第六實施例中一固態影像拾取裝置之一片段剖面圖;
圖24A至24G係圖解說明在根據本發明之第六實施例中該固態影像拾取裝置之一製造方法之視圖;
圖25係在一對根據本發明之第六實施例之第一修改中一固態影像拾取裝置之一片段剖面圖;及
圖26係在一對根據本發明之第六實施例之第二修改中一固態影像拾取裝置之一片段剖面圖。
1...固態影像拾取裝置
11...半導體基板
12...P-型掩埋區域
13...N-型區域
14...P-型區域
15...光電二極體
16...閘極絕緣膜
17...轉移閘
21...第一碳化矽(SiC)層
22...第一矽層
41...像素間隔離區域
42...像素間隔離區域

Claims (18)

  1. 一種具有光電二極體之固態影像拾取裝置,其中該等光電二極體各自包含:一N-型區域,其形成於一半導體基板中;一第一碳化矽層,其形成於該N-型區域上方;及一P-型區域,其包括一形成於該第一碳化矽層上方且摻雜有硼之第一矽層,該半導體基板具有分別界定用於形成該等光電二極體之區域之元件隔離區域,且該等元件隔離區域各自包含:一第二碳化矽層,其形成於一形成於該半導體基板中之元件隔離溝渠之一內壁上;及一第二矽層,其介隔著該第二碳化矽層地填充該元件隔離溝渠之一內側且摻雜有硼。
  2. 如請求項1之固態影像拾取裝置,其中,P-型掩埋區域分別作為溢流汲極形成於半導體層中該等光電二極體下方,且碳化矽層分別形成於該等P-型掩埋區域與該等光電二極體之間。
  3. 如請求項1之固態影像拾取裝置,其中該第一碳化矽層及第二碳化矽層已藉由磊晶生長形成於該半導體基板上。
  4. 如請求項3之固態影像拾取裝置,其中該第一碳化矽層及第二碳化矽層已經形成而使得其等 彼此成為一體。
  5. 如請求項4之固態影像拾取裝置,其中該第一矽層及第二矽層已藉由磊晶生長而分別形成於該第一碳化矽層及第二碳化矽層上。
  6. 如請求項5之固態影像拾取裝置,其中該第一矽層及第二矽層已經形成而使得其等彼此成為一體。
  7. 如請求項6之固態影像拾取裝置,其中,該等光電二極體各自進一步包含一具有配置於一絕緣層中之佈線之佈線層,該佈線層形成於該半導體基板之一側上,且該等光電二極體各自經配置以使得該光電二極體獲取自該半導體基板之另一側進入之光以產生信號電荷,該另一側係位於與該一側相對處。
  8. 如請求項7之固態影像拾取裝置,其中該等元件隔離區域各自形成於一配置於該半導體基板中自該另一側朝該一側中途處之溝渠中。
  9. 如請求項7之固態影像拾取裝置,其中該第一矽層具有一高於該第二矽層之硼之雜質濃度。
  10. 如請求項7之固態影像拾取裝置,其中該第一矽層、第一碳化矽層及N-型區域經形成以使得入射光進入該光電二極體而通過之該第一矽層、第一碳化矽層及N-型區域之一側之中心較該等一側之周邊更接近於該入射光進入之側以聚集該入射光。
  11. 一種包括光電二極體之固態影像拾取裝置,該等光電二極體中之每一者包含一P-型區域及一N-型區域,其中,該P-型區域係由一摻雜有硼之矽半導體形成,且該等光電二極體各自設置有一矽鍺層,該矽鍺層形成於該P-型區域與該N-型區域之間以使得摻雜於該P-型區域中之該硼之擴散被阻斷,一半導體基板具有分別界定用於形成該等光電二極體之區域之元件隔離區域,且該等元件隔離區域各自包含:一第二矽鍺層,其形成於一形成於該半導體基板中之元件隔離溝渠之一內壁上;及一第二矽層,其介隔著該第二矽鍺層地填充該元件隔離溝渠之一內側且摻雜有硼。
  12. 如請求項1或11之固態影像拾取裝置,其中該P-型區域及該元件隔離區域分別於不同雜質濃度下形成。
  13. 一種用於製造一固態影像拾取裝置之方法,其包含如下步驟:藉由磊晶生長在一半導體基板上形成一第一碳化矽層;藉由磊晶生長在該第一碳化矽層上形成一第一矽層;藉由離子植入在該半導體基板中形成光電二極體之N-型區域;在該等N-型區域上方之該第一矽層中植入硼以形成該 等光電二極體之P-型區域;在形成由該等N-型區域及P-型區域構成之該等光電二極體之前但在形成該第一矽層之後,由該第一矽層在該半導體基板中形成元件隔離溝渠;在該等元件隔離溝渠之內壁上形成第二碳化矽層;及介隔著該等第二碳化矽層地填充含硼矽層於該等元件分離溝渠中以使得形成P-型元件隔離區域。
  14. 如請求項13之方法,其更進一步包含如下步驟:於半導體基板中欲形成由該等N-型區域及P-型區域構成之該等光電二極體之區域下方,形成P-型掩埋區域作為溢流汲極;及於半導體基板中在該等P-型掩埋區域與欲形成該等光電二極體之該等區域之間,形成一碳化矽層。
  15. 如請求項14之方法,其進一步包含如下步驟:在形成該第一矽層之後但在形成該等光電二極體之前,在該第一矽層上方形成一第三碳化矽層;及在該第三碳化矽層上方形成一第二矽層,其中該等N-型區域係藉由離子植入形成於該半導體基板中,且該等P-型區域係藉由硼離子植入形成於該第一矽層中。
  16. 如請求項15之方法,其進一步包含使該第一碳化矽層經歷退火處理之一步驟。
  17. 如請求項13之方法,其進一步包含使該等第二碳化矽層經歷退火處理之一步驟。
  18. 如請求項13之方法,其中該P-型區域及該元件隔離溝渠分別於不同雜質濃度下形成。
TW099126361A 2009-09-02 2010-08-06 固態影像拾取裝置及其製造方法 TWI508271B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009202301 2009-09-02
JP2010127960A JP5569153B2 (ja) 2009-09-02 2010-06-03 固体撮像装置およびその製造方法

Publications (2)

Publication Number Publication Date
TW201117365A TW201117365A (en) 2011-05-16
TWI508271B true TWI508271B (zh) 2015-11-11

Family

ID=44021105

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099126361A TWI508271B (zh) 2009-09-02 2010-08-06 固態影像拾取裝置及其製造方法

Country Status (3)

Country Link
US (1) US8829636B2 (zh)
JP (1) JP5569153B2 (zh)
TW (1) TWI508271B (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
KR101745638B1 (ko) * 2011-01-12 2017-06-09 삼성전자 주식회사 광대역 갭 물질층 기반의 포토 다이오드 소자, 및 그 포토 다이오드 소자를 포함하는, 후면 조명 씨모스 이미지 센서 및 태양 전지
US8466530B2 (en) * 2011-06-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Co-implant for backside illumination sensor
JP5845856B2 (ja) * 2011-11-30 2016-01-20 ソニー株式会社 固体撮像素子およびその製造方法、並びに電子機器
US8772899B2 (en) * 2012-03-01 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for backside illumination sensor
JP5962155B2 (ja) * 2012-04-04 2016-08-03 ソニー株式会社 固体撮像装置、固体撮像装置の製造方法、及び、電子機器
JP2014093482A (ja) * 2012-11-06 2014-05-19 Toshiba Corp 固体撮像装置の製造方法および固体撮像装置
JP6303803B2 (ja) * 2013-07-03 2018-04-04 ソニー株式会社 固体撮像装置およびその製造方法
US10677965B2 (en) 2014-01-27 2020-06-09 Forelux Inc. Optical apparatus for non-visible light applications
US9651718B2 (en) 2014-01-27 2017-05-16 Forelux Inc. Photonic apparatus with periodic structures
DE102014211071A1 (de) * 2014-06-11 2015-12-17 Robert Bosch Gmbh Fahrzeug-Lidar-System
US9111993B1 (en) * 2014-08-21 2015-08-18 Omnivision Technologies, Inc. Conductive trench isolation
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
JP2017069239A (ja) * 2015-09-28 2017-04-06 新日鐵住金株式会社 炭化珪素のエピタキシャル成長方法
JP6877872B2 (ja) 2015-12-08 2021-05-26 キヤノン株式会社 光電変換装置およびその製造方法
TWI759480B (zh) * 2017-05-09 2022-04-01 光引研創股份有限公司 製造光學裝置的方法
JP7282485B2 (ja) * 2018-05-14 2023-05-29 キオクシア株式会社 半導体装置およびその製造方法
US10854647B2 (en) 2018-11-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Photo diode with dual backside deep trench isolation depth
TW202121671A (zh) 2019-05-31 2021-06-01 日商索尼半導體解決方案公司 固體攝像裝置
KR20220140523A (ko) 2020-01-14 2022-10-18 퀀텀-에스아이 인코포레이티드 수명 플러스 스펙트럼 특성화를 위한 센서
AU2021207501A1 (en) * 2020-01-14 2022-09-08 Quantum-Si Incorporated Integrated sensor for lifetime characterization
US11719639B2 (en) 2020-03-02 2023-08-08 Quantum-Si Incorporated Integrated sensor for multi-dimensional signal analysis
EP4133524A2 (en) 2020-04-08 2023-02-15 Quantum-si Incorporated Integrated sensor with reduced skew
KR20220084688A (ko) * 2020-12-14 2022-06-21 삼성전자주식회사 이미지 센서
US20230017723A1 (en) * 2021-07-16 2023-01-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method of the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070138576A1 (en) * 2005-12-19 2007-06-21 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory and method for manufacturing a non-volatile semiconductor memory
JP2007207891A (ja) * 2006-01-31 2007-08-16 Sony Corp 固体撮像装置、及び固体撮像装置の製造方法
US20080105906A1 (en) * 2004-07-07 2008-05-08 Mitsuyoshi Mori Solid State Imaging Apparatus Method for Fabricating the Same and Camera Using the Same
US20080224181A1 (en) * 2007-03-14 2008-09-18 Shinji Uya Back irradiating type solid state imaging device

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100239412B1 (ko) * 1996-12-28 2000-01-15 김영환 고체 촬상 소자 및 그의 제조 방법
JP2001267549A (ja) * 2000-01-12 2001-09-28 Sony Corp 固体撮像素子
US6844251B2 (en) * 2001-03-23 2005-01-18 Krishna Shenai Method of forming a semiconductor device with a junction termination layer
EP1540733B1 (en) * 2002-09-19 2008-07-16 Quantum Semiconductor, LLC Light-sensing device
JP2004327493A (ja) * 2003-04-21 2004-11-18 Renesas Technology Corp 半導体装置及びその製造方法
JP2005167125A (ja) 2003-12-05 2005-06-23 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US20070023796A1 (en) * 2005-07-27 2007-02-01 International Business Machines Corporation Pinning layer for pixel sensor cell and method thereof
US7307327B2 (en) * 2005-08-04 2007-12-11 Micron Technology, Inc. Reduced crosstalk CMOS image sensors
JP2008147409A (ja) * 2006-12-08 2008-06-26 Sharp Corp 固体撮像装置およびその製造方法、電子情報機器
JP2008182042A (ja) * 2007-01-24 2008-08-07 Matsushita Electric Ind Co Ltd 固体撮像装置及びその製造方法
EP2202795A1 (en) * 2008-12-24 2010-06-30 S.O.I. TEC Silicon Method for fabricating a semiconductor substrate and semiconductor substrate

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080105906A1 (en) * 2004-07-07 2008-05-08 Mitsuyoshi Mori Solid State Imaging Apparatus Method for Fabricating the Same and Camera Using the Same
US20070138576A1 (en) * 2005-12-19 2007-06-21 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory and method for manufacturing a non-volatile semiconductor memory
JP2007207891A (ja) * 2006-01-31 2007-08-16 Sony Corp 固体撮像装置、及び固体撮像装置の製造方法
US20080224181A1 (en) * 2007-03-14 2008-09-18 Shinji Uya Back irradiating type solid state imaging device

Also Published As

Publication number Publication date
US20110204467A1 (en) 2011-08-25
JP5569153B2 (ja) 2014-08-13
US8829636B2 (en) 2014-09-09
TW201117365A (en) 2011-05-16
JP2011077498A (ja) 2011-04-14

Similar Documents

Publication Publication Date Title
TWI508271B (zh) 固態影像拾取裝置及其製造方法
KR101680899B1 (ko) 고체 촬상 장치 및 그 제조 방법
US7713809B2 (en) Image sensor for reduced dark current
US7750382B2 (en) Image sensor having a charge storage region provided within an implant region
KR100694470B1 (ko) 이미지 센서 제조 방법
US7385238B2 (en) Low dark current image sensors with epitaxial SiC and/or carbonated channels for array transistors
KR101485653B1 (ko) Cmos 이미지 센서 및 이의 형성 방법
US7195947B2 (en) Photodiode with self-aligned implants for high quantum efficiency and method of formation
US20080096302A1 (en) Photodiode with ultra-shallow junction for high quantum efficiency CMOS image sensor and method of formation
KR100657143B1 (ko) 이미지 센서 및 그 제조 방법
JP2015056622A (ja) 半導体装置
US20130320419A1 (en) CIS Image Sensors with Epitaxy Layers and Methods for Forming the Same
US12015042B2 (en) Structure and material engineering methods for optoelectronic devices signal to noise ratio enhancement
US20150255501A1 (en) Solid state imaging device and method of fabricating the same
US11721774B2 (en) Full well capacity for image sensor
JP2010251628A (ja) 固体撮像装置およびその製造方法
KR100672712B1 (ko) 반도체 시모스 이미지 센서의 광감지 소자 제조방법
US20080006857A1 (en) Photodiode with self-aligned implants for high quantum efficiency and method of formation
KR101033397B1 (ko) 이미지 센서의 제조 방법
JP2002124660A (ja) 固体撮像素子およびその製造方法
KR101016516B1 (ko) 이미지센서 제조방법
JP2012231026A (ja) 固体撮像装置
JP2017143159A (ja) 撮像装置、及びその製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees