TWI476822B - 金屬高介電常數場效電晶體之雙金屬與雙介電質整合 - Google Patents

金屬高介電常數場效電晶體之雙金屬與雙介電質整合 Download PDF

Info

Publication number
TWI476822B
TWI476822B TW099109564A TW99109564A TWI476822B TW I476822 B TWI476822 B TW I476822B TW 099109564 A TW099109564 A TW 099109564A TW 99109564 A TW99109564 A TW 99109564A TW I476822 B TWI476822 B TW I476822B
Authority
TW
Taiwan
Prior art keywords
gate
region
conductivity type
gate dielectric
gate conductor
Prior art date
Application number
TW099109564A
Other languages
English (en)
Other versions
TW201108309A (en
Inventor
Michael P Chudzik
William K Henson
Rashmi Jha
Yue Liang
Ravikumar Ramachandran
Richard S Wise
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW201108309A publication Critical patent/TW201108309A/zh
Application granted granted Critical
Publication of TWI476822B publication Critical patent/TWI476822B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Description

金屬高介電常數場效電晶體之雙金屬與雙介電質整合
本發明一般係關於微電子。在一具體實施例中,本發明與金屬氧化物半導體場效電晶體(MOSFET)中的閘極結構有關。
場效電晶體(FET)係廣泛用於電子業中作為切換、放大、過濾以及與類比及數位電性訊號兩者有關的其他工作,最常見的就是金屬氧化物半導體場效電晶體(MOSFET或MOS),其中閘極結構係被激發以於半導體本體的下方通道區域中產生電場,藉此,電子可運行通過半導體本體之源極區域與汲極區域之間的通道。互補型MOS(CMOS)元件現已大量使用於半導體業,其中n型與p型(NMOS和PMOS)電晶體都用於製造邏輯與其他電路。
半導體元件製造的持續趨勢包括降低電性元件特徵尺寸(改變大小)、以及提升關於元件切換速度與功率消耗之元件性能。MOS電晶體性能係藉由減少元件之閘極導體下方的源極與汲極區域間之距離(即所謂的閘極或通道長度)和藉由減少半導體表面上所形成之閘極介電層厚度而加以改善。然而,電性與物理性限制係受限於可降低之二氧化矽閘極介電質厚度。
近來MOS與CMOS電晶體改變大小(scaling)之效應已經著重在介電常數比二氧化矽高(例如高於約3.9)的高介電常數(以下簡稱“高k”)介電質材料,其可形成於較二氧化矽厚之膜層中,同時仍產生相當的場效性能。這種高k介電質材料的相對電性性能係通常以等效氧化物厚度(EOT)來表示,這是因為高k材料層一般會較厚,且仍提供與薄得許多之二氧化矽層相當的電性效應。由於介電常數「k」高於二氧化矽,因而可使用較厚的高k介電層來減輕穿隧洩漏電流,同時仍達到與熱成長之二氧化矽較薄層等效的電性性能。
含鉿之高k/金屬閘極堆疊是SiON/多晶矽閘極堆疊的一種替代方式,雖然高k介電質因其較高的介電常數而提供比SiON更明顯的大小變化,但這些閘極堆疊之介電常數的效應係由含鉿之高k閘極介電質與含矽基板之間的低介電常數類二氧化矽界面層之熱動力成長加以調和,一般含鉿之高k閘極介電質係形成於該基板上。
本發明提供了一種用於形成一閘極結構之方法,其於一具體實施例中係包括:提供一基板,該基板包括一第一傳導類型區域與一第二傳導類型區域;形成一閘極堆疊,其包括在該基板的該第一傳導類型區域與該第二傳導類型區域上之一閘極介電質、以及在該閘極介電質上之一第一金屬閘極導體;移除該第一金屬閘極導體在該第一傳導類型區域中的一部分,以暴露該第一傳導類型區域中的該閘極介電質,其中該第一金屬閘極導體的一剩餘部分係存在於該第二傳導類型區域中;氮化該第一傳導類型區域中的該閘極介電質以及該第二傳導類型區域中的該第一金屬閘極導體;以及形成一第二金屬閘極導體,其至少位在該第一傳導類型區域中的閘極介電質之上。
在另一具體實施例中,用於形成一閘極結構之方法包括提供一基板,該基板包括一第一傳導類型區域與一第二傳導類型區域;形成一閘極堆疊,其包括在該基板之第一傳導類型區域與該第二傳導類型區域上之一閘極介電質、以及在該閘極介電質上之一第一金屬閘極導體;形成一蝕刻遮罩覆蓋該第二傳導類型區域,其中該第一傳導類型區域外露;移除該第一金屬閘極導體在該第一傳導類型區域中的一部分,以暴露該第一傳導類型區域中的該閘極介電質;移除該蝕刻遮罩;對該第一傳導類型區域中的該閘極介電質以及該第二傳導類型區域中的該第一金屬閘極導體施用一含氮電漿;以及形成一第二金屬閘極導體,其至少位於該第一傳導類型區域中的該閘極介電質上。
在又一具體實施例中,該方法包括提供一基板,其包括一n型元件區域與一p型元件區域;形成一閘極堆疊,其包括位於n型元件區域與p型元件區域上之一閘極介電質以及位於該閘極介電質上之一第一金屬閘極導體;形成一蝕刻遮罩,其在該p型元件區域上,其中該n型元件區域外露;移除該金屬閘極導體在該n型元件區域中的一部分,以暴露該n型元件區域中的該閘極介電質;移除該蝕刻遮罩;對該n型元件區域中的該閘極介電質以及該p型元件區域中的該第一金屬閘極導體施用一含氮電漿;以及形成一第二金屬閘極導體,其至少位於該n型元件區域中的閘極介電質上。
在另一態樣中係提供一半導體元件。一般而言,該半導體元件包括:一基板,其包括一p型元件區域與一n型元件區域;至少一nFET,其存在該n型元件區域中且包括一閘極結構,該閘極結構包括在該基板上、具有一氮化上表面之一含鉿閘極介電質以及直接位於該含鉿閘極介電質之該氮化上表面上的一閘極導體;以及至少一pFET,其位於該p型元件區域中且包括一閘極結構,該閘極結構包括在該基板上且具有實質上不含氮化物之一上表面的一含鉿閘極介電質、直接位於該含鉿閘極介電質上且具有該實質上不含氮化物之上表面的一閘極導體,其中該至少一pFET的該閘極結構中之該閘極導體的一上表面係一氮化上表面。
本發明於一具體實施例中係提供了一種對半導體元件形成閘極結構的方法,例如場效電晶體(FET),其具有一金屬閘極導體/高k介電質堆疊,其具有之臨界電壓係調整至半導體元件之傳導類型;以下將參照如附說明與圖式來進一步說明此方法。應注意本發明之圖式僅為描述之用,且因而並未以實際大小來繪製。
在下文中係提出各種具體細節,例如特定結構、組件、材料、維度、處理步驟與方式,以提供對本發明之通盤瞭解。然而,該領域技術人士應之本發明亦可在不具這些具體細節下實施。在其他例子中,不再詳細描述習知結構或處理步驟以避免混淆本發明。在說明本發明之方法與結構時,以下用語係具有如下意義,除另行指明外。
本文所使用之用語「半導體元件」是指一種本質(intrinsic)半導體材料,其已經摻雜(亦即摻劑已注入其中)而提供與本質半導體不同的電性性質。摻雜表示將摻質原子加入本質半導體中,其改變本質半導體在熱平衡下的電子與電動載體濃度。在外質(extrinsic)半導體中的主要載體濃度係決定其屬n型或p型半導體。
本文所使用之用語「傳導類型」與「傳導區域」代表p型或n型摻雜半導體。
本文所使用之用語「p型」是指對本質半導體加入之雜質產生了價電子缺乏,例如對IV族半導體(如矽)添加硼、鋁或鎵。
如本文所使用之用語「n型」是指對本質半導體加入之雜質產生自由電子,例如對IV族半導體(如矽)添加銻、砷或磷。
如本文所使用之用語「場效電晶體(FET)」是一種電晶體,其中輸出電流(亦即源極-汲極電流)係由施加至閘極的電壓加以控制。場效電晶體具有三個端子,亦即閘極、源極與汲極。
如本文所使用之用語「汲極」表示位於場效電晶體中通道末端處的半導體基板經摻雜區域;其中載體係從電晶體流出通過汲極。
如本文所使用之用語「源極」係一摻雜區域,主要載體係從其流入通道中。
如本文所使用之用語「通道」係金屬氧化物半導體電晶體之源極與汲極間的區域,其於電晶體開啟時變成具傳導性。
用語「閘極結構」代表用以控制一半導體元件(例如場效電晶體FET)之輸出電流(亦即通道中的載體流動)的結構。
如本文所使用之用語「閘極導體」代表材料之塊材電阻率係介於10-4 Ω-cm至10-6 Ω-cm間,且其係位於閘極介電質頂上。
如本文所使用之用語「金屬」為一種導電性材料,其中金屬原子係藉由金屬鍵而結合在一起,且金屬的傳導能帶結構係與價帶重疊,因此並無能隙。
用語「經氮化」、「氮化」與「使氮化」係指氮化一金屬(例如金屬閘極導體)或氮化一介電質(例如一閘極介電質),其代表將氮從外部來源注入材料(亦即介電質或金屬)中。
如本文所使用之用語「pFET」代表場效電晶體的源極/汲極區域係由雜質之添加(例如在本質矽基板中添加硼、鋁、鎵)而產生,以對本質半導體產生價電子之缺乏。
如本文所使用之用語「nFET」是指場效電晶體具有之源極/汲極區域是由雜質之添加(例如在本質矽基板中加入銻、砷、或磷)而產生,其對本質半導體產生自由電子。
如本文所使用之用語「閘極介電質」是在半導體元件基板與閘極導體之間的一介電層。
如本文所使用之用語「介電質」代表具有絕緣性質之非金屬性材料。
如本文所使用之用語「絕緣」代表室溫傳導率低於約10-10 (Ω-m)-1
如本文所使用之用語「高k」代表介電質材料之特徵為介電常數(k)高於3.9。
用語「含氮電漿」是指包括N2 與H2 混合物之電漿處理,而未注入氧或氟或任何其他種類。
如本文所使用之用語「電漿」是指帶電粒子(亦即至少在電子上之脫落粒子)的集合。因為電漿中的粒子帶有電荷(一般是脫落電子),通常將其稱為「離子化氣體」。
後續為描述之用,用語「上」、「下」、「右」、「左」、「垂直」、「水平」、「頂部」、「底部」及其衍生詞都是相對於本發明之圖式中的取向。
此外,應知在稱位於一層、區域或基板之「頂上」或「上方」、或「覆蓋」、或「下方」、或「下方」、或在另一元件「上」時,其可直接位於該另一元件上、或同時存在一中間元件。相較之下,在稱其與另一元件「直接位於上方」、或「直接在上方」、或「直接實體接觸」時,則不存在中間元件。
關於說明書中參照「一具體實施例」、「一種具體實施例」、「一示例具體實施例」等係代表所描述之具體實施例具有一特定特徵、結構或特性,但不是每一個具體實施例都必須包含該特定特徵、結構或特性。此外,這些用語並不是指相同的具體實施例。另外,在描述與一具體實施例相關之特定特徵、結構或特性時,係指該領域技術人士可於其知識範疇中在其他具體實施例中實施該特徵、結構或特性,無論是否特別說明。
在一具體實施例中,本發明提供一種方法,其中基板5係暴露至含有N2 或N2 /H2 (後稱為N/H)電漿之環境。在此步驟中,N或N/H電漿的施用使得在基板上後續欲形成n型傳導半導體元件之部分中的閘極介電質氮化,並使得在基板上後續欲形成p型傳導半導體元件之部分中的金屬閘極導體氮化。氮化之閘極介電質係藉由減緩元件尺寸相關之再成長,並在較高工作函數方向中使所需之臨界電壓(Vt)向pFET帶緣(4.9eV至5.2eV)偏移,來提昇n型半導體元件中的元件性能。氮化之金屬閘極導體係因N劑量之增加而增加工作函數,藉以提昇p型半導體元件中的元件性能,其產生與p型半導體元件之pFET工作函數(4.9eV至5.2eV)一致的所需的臨界電壓(Vt)。
首先參照圖1至圖7,其說明了本發明中用於形成互補型金屬氧化物半導體(CMOS元件)閘極結構之程序的一種具體實施例。在一具體實施例中,該方法包括了提供一基板5,其包括一第一傳導類型區域10(例如n型元件區域)與一第二傳導區域15(例如p型元件區域),其中包括一閘極介電質20之一閘極堆疊55係位於第一傳導類型區域10與第二傳導類型區域15上方,且一第一金屬閘極導體60係覆蓋該閘極介電質20。在下一處理步驟中,第一金屬閘極導體60之在第一傳導類型區域10中的一部分係經移除,以暴露出下方的閘極介電質20。在一具體實施例中,移除第一金屬閘極導體60之一部分係包括在第二傳導類型區域15上方形成一蝕刻遮罩24,其中該第一傳導類型區域10係暴露,並移除(例如蝕刻)該第一金屬閘極導體60之在該第一傳導類型區域15上方的一部分,以暴露出在第一傳導類型區域15中的一部分閘極介電質20。當存在時,蝕刻遮罩24係經移除,且接著施用含氮電漿50以氮化第一傳導類型區域10中的閘極介電質20之暴露部分、以及在該第二傳導類型區域15中之第一金屬閘極導體60的剩餘部分。上述方法與結構之細節將進一步討論如下。
在一具體實施例中,第一傳導類型區域10提供了後續欲形成nFET元件處,而第二傳導類型區域15提供後續欲形成pFET元件處。在另一具體實施例中,第一傳導類型區域10提供了後續欲形成pFET元件處,而第二傳導類型區域15提供後續欲形成nFET元件處。
本發明中所使用之基板5係任何半導體材料,包括但不限於Si、Ge、SiGe、SiC、SiGeC、Ga、GaAs、InAs、InP與所有其他的III/V族或II/VI族化合物半導體。基板5也包括一有機半導體或一層狀半導體,例如Si/SiGe、絕緣層覆矽(SOI)或絕緣層覆SiGe(SGOI)。在本發明之部分具體實施例中,基板5是由含矽半導體材料所組成,亦即含有矽之半導體材料。基板5係經摻雜、或未摻雜、或含有經摻雜或未摻雜之區域。
基板5也包括一第一摻雜(n型或p型)區域,以及一第二摻雜(n型或p型)區域。為求清楚,經摻雜之區域並未特別繪示於本發明之圖式中。第一摻雜區域與第二摻雜區域可為相同、或具有不同傳導率及/或摻雜濃度。這些摻雜區域也稱為井(wells)。
在一具體實施例中,至少一隔離區域1係位於基板5中。該至少一隔離區域1係一溝渠隔離區域或一場氧化物隔離區域。溝渠隔離區域係利用該領域技術人士所熟知的溝渠隔離程序所形成;舉例而言,利用微影、蝕刻、並在溝渠中填入溝渠介電質以形成溝渠隔離區域。可視需要而在進行溝渠填充之前先於溝渠中形成一襯層、在溝渠填充之後進行緻密化步驟,並在溝渠填充之後進行平面化步驟。場氧化物係利用矽製程之局部氧化而形成。注意至少一部份的隔離區域1提供了鄰近第一傳導類型區域10與第二傳導類型區域15之間的隔離,其係當鄰近閘極具有相反傳導性時所必須。在一具體實施例中,半導體區域係由基板5中位於兩隔離區域1之間的部分所定義。
仍參照圖1,在於基板5內形成該至少一隔離區域1後,閘極堆疊55係形成於基板5的第一傳導類型區域10與第二傳導類型區域15上方。如圖所示,閘極堆疊55包括至少一閘極介電質20與位於閘極介電質20上方之一閘極導體60。閘極堆疊55的閘極介電質20係形成於基板5的表面上。在一具體實施例中,閘極介電質20係形成於基板5的第一傳導類型區域10與第二傳導類型區域15上。在一具體實施例中,閘極介電質20是由高k閘極介電質材料所組成。在另一具體實施例中,高k介電質材料是由熱成長程序所形成,像是例如氧化、氮化或氮氧化。在另一具體實施例中,高k介電質材料是由沉積程序所形成,像是例如化學氣相沉積(CVD)、電漿輔助CVD、金屬有機化學氣相沉積(MOCVD)、原子層沉積(ALD)、蒸鍍、反應性濺鍍、化學溶液沉積與其他類似沉積程序。高k介電質材料也可利用上述程序之任一組合而形成。
作為閘極介電質20之高k介電質材料包括一絕緣材料,其具有之介電常數係大於4.0。一般而言,高k介電質材料之介電常數高於7.0。具體而言,本發明中用於閘極介電質20之高k介電質材料包括但不限於氧化物、氮化物、氮氧化物及/或矽化物,包括金屬矽化物與氮化金屬矽化物。在一具體實施例中,高k介電質材料係由二氧化鉿、矽化鉿與氮氧矽化鉿所組成。在另一具體實施例中,閘極介電質20包括由氧化物組成之高k介電質材料,像是例如ZrO2 、Al2 O3 、TiO2 、La2 O3 、SrTiO3 、LaAlO3 、Y2 O3 與其混合物。
高k介電質材料的實體厚度會改變,但在本發明一具體實施例中,高k介電質材料之厚度係介於0.5nm至10nm,一般是介於0.5nm至3nm之間。其係沉積在先沉積於基板5上之二氧化矽或氮氧化矽薄層(0.1nm至1.5nm)上方。在某些例子中,在閘極介電質20與基板5之間可具有另一介電層,例如一氮化物層。
接著形成一第一金屬閘極導體60以覆蓋於閘極介電質20上方。第一金屬閘極導體60係利用沉積程序後接以平面化而形成於閘極介電質20上,該程序例如有CVD、電漿輔助CVD、電鍍、及/或濺鍍。第一金屬閘極導體60包括任何傳導性金屬,包括但不限於:鎢、鎳、鈦、鉬、鉭、銅、鉑、銀、金、釕、銥、銠與錸、以及含有前述至少其中一種傳導性元素金屬之合金,例如TiN、TaN、MoN等。當使用傳導元素之組合時,在傳導材料間係形成有一選擇性擴散阻障材料層(未示於圖式中),例如TaN或WN。第一金屬閘極導體60係具有一厚度T1 為5nm至50nm;更一般而言,第一金屬閘極導體60的厚度T1 係介於7.5nm至20nm之間。
參照圖2,在形成了第一金屬閘極導體60之後,在第二傳導類型區域15的閘極介電質20上方形成一蝕刻遮罩24。在一具體實施例中,蝕刻遮罩24的形成係由在第一傳導類型區域10與第二傳導類型區域15上沉積一光阻層開始。該光阻層可為正性作用或負性作用。對於大部分的負性作用光阻而言,塗佈層中對活化輻射暴露的部分會於光阻劑組成中光作用性化合物與可聚合劑間的反應中聚合化或交聯;因此暴露的塗佈部分在顯影劑溶劑比未暴露部分更無法溶解。對於正性作用光阻而言,暴露的部分則在顯影劑溶劑中較為溶解,而未暴露的區域則保持相對較無法溶解於顯影劑溶劑中。
一般而言,光阻劑組成包括至少一種樹脂接合成分與一光作用劑。大量種類的聚合或樹脂接合劑都可用於光阻劑中,這些聚合性接合劑包括一或多種酸基單體,如丙烯酸或甲基丙烯酸。
在一具體實施例中,光阻層是由DQN光阻劑所組成。DQN光阻劑是一種雙成分光阻劑,包括光感性成分DQ與N型樹脂酚醛清漆(novolac)。一般而言,DQ是光感性成分而酚醛清漆在曝光波長小於300nm時並不會反應,一般是利用光源波長為g-line(436nm)與i-line(365nm)之曝光工具。光阻劑可為液體或乾燥膜。液體光阻劑係分散於一基板上並予以固化。乾燥膜光阻劑一般係層積至基板上。在一具體實施例中,光阻層係利用旋塗技術而沉積。
在於基板5之第一傳導類型區域10與第二傳導類型區域15上形成光阻層之後,係利用光微影來圖樣化光阻層,並使其顯影以提供蝕刻遮罩24。更具體而言,在一具體實施例中,係藉由將光阻層暴露至輻射圖樣中、然後利用傳統的阻劑顯影劑(例如化學溶劑)使圖樣顯影為光阻劑而產生圖樣。在正性阻劑顯影中,顯影劑係於阻劑層中留下孔洞,其相應於光微影工具的光標(遮罩)之不透光圖樣。在負性阻劑顯影中,顯影劑是在阻劑中留下與光標(遮罩)相反的圖樣。圖樣的顯影係利用傳統顯影技術而進行,包括但不限於連續噴塗顯影與填塞顯影。在另一具體實施例中,蝕刻遮罩24係由氧化物、氮化物或氮氧化物材料所組成之一硬遮罩。
在一具體實施例中,在形成蝕刻遮罩24之後,係暴露第一傳導類型區域10與第二傳導類型區域15其中之一,其中光阻層的剩餘部分係保持覆蓋於第一傳導類型區域10或第二傳導類型區域15中的另一者上。注意圖1雖繪示了光阻層的剩餘部分(亦即蝕刻遮罩24)係覆蓋於第二傳導類型區域15(亦即p型元件區域)上,但本發明之方法也可用於光阻層的剩餘部分(亦即蝕刻遮罩24)係覆蓋於第一傳導類型區域15(亦即n型元件區域)上的情形。
圖3說明了蝕刻第一金屬閘極導體60之暴露部分並終止於第一傳導類型區域10(亦即n型元件區域)中的閘極介電質20上,其中蝕刻遮罩24係保護第一金屬閘極導體60之位於第二傳導類型區域15(亦即p型元件區域)的部分。在一具體實施例中,蝕刻程序是由非等向性蝕刻所提供,例如反應性離子蝕刻。在一具體實施例中,蝕刻程序係相對於閘極介電質20而移除第一金屬閘極導體60。在一實例中,移除第一金屬閘極導體60之蝕刻程序包括了由Cl2 與Ar組成之蝕刻化學性質。在移除第一金屬閘極導體60以暴露出第一傳導類型區域10中的下方閘極介電質20之後,係移除蝕刻遮罩24,如圖4所示。當蝕刻遮罩24是由光阻材料所組成時,可利用氧灰化方式來移除蝕刻遮罩24。
參照圖5,接著對基板5的第一傳導類型區域10與第二傳導類型區域15施用含氮電漿50,其中含氮電漿50係於第一傳導類型區域10(亦即n型元件區域)中的閘極介電質20之暴露部分上及/或內產生含氮層26,並在第二傳導類型區域15(亦即p型元件區域)中的第一金屬閘極導體60的剩餘部分上及/或內產生含氮層26。
在一具體實施例中,含氮電漿50使第一傳導類型區域10中的閘極介電質20以及在第二傳導類型區域15中的第一金屬閘極導體60氮化,其中閘極介電質20中的氮含量係介於0%至50%間,且第一金屬閘極導體60的氮含量係介於0%至60%間。在部分實例中,閘極介電質20的氮含量可能會超過50%,且在部分實例中,第一金屬閘極導體60的氮含量可能會超過60%。在一實例中,位於第一傳導類型區域10中的含氮層26為閘極介電質20的一部分暴露部分,其厚度介於1nm至10nm間(自閘極介電質20的上表面量起),且具有之氮濃度介於0%至50%,一般係大於1%。在另一實例中,閘極介電質20的前述部分中的氮濃度係介於10%至50%,一般係介於25%至50%。在一實例中,在第二傳導類型區域15中的含氮層26是第一金屬閘極導體60的剩餘部分,其厚度介於1nm至20nm間(自第一金屬閘極導體60的上表面量起),且具有之氮濃度介於0%至60%,一般係大於1%。在另一實例中,第一金屬閘極導體60的前述部分中的氮濃度係介於10%至60%,一般係介於25%至60%。
氮化之閘極介電質20、26係減緩元件尺寸相關之再成長效應,並使所需之臨界電壓(Vt)根據N劑量之添加而偏移且加以控制,藉以提昇n型半導體元件之元件性能。氮化之金屬閘極導體20、26係增加有效工作函數達4.9eV至5.2eV,藉以提昇p型半導體元件的元件性能,其產生與p型半導體元件之所需臨界電壓(Vt)。n型半導體元件的有效工作函數係介於4.1eV至4.3eV之間。
在一具體實施例中,整合閘極介電質20(具有含氮層26於其上或其中)之n型半導體元件係具有約0.00mV至約300mV間之臨界電壓。在一具體實施例中,整合第一金屬閘極導體60(具有含氮層26於其上或其中)之p型半導體元件係具有約0.00mV至約300mV間之臨界電壓。
在一具體實施例中,含氮電漿50是由N2 /H2 所組成。含氮電漿進一步包括由O2 與Si所組成之自由基。在一具體實施例中,含氮電漿50是由N2 /H2 中含1%至10%之H2 所組成,且其實質上不含O2 。在一具體實施例中,含氮電漿50的O2 含量係低於0.01%。在另一具體實施例中,含氮電漿50的O2 含量係低於0.5%。在另一具體實施例中,含氮電漿50係完全不含O2
在一實例中,含氮電漿50的氟含量係0.5%或更低。在另一實例中,含氮電漿50係完全不含氟,亦即氟含量為0.00%。在一具體實施例中,含氮電漿50的流率係介於2,000sccm至11,000sccm。在另一具體實施例中,含氮電漿50的流率係介於8,000sccm至10,000sccm。一般而言,含氮電漿50的RF功率係介於1,000W至3,000W之間。更一般而言,含氮電漿50的RF功率係介於1,500W至2,500W之間。甚至更一般的,含氮電漿50的RF功率係介於500W至5000W之間。
在一具體實施例中,含氮電漿50之施用溫度介於約室溫至攝氏300度。在另一具體實施例中,含氮電漿50之施用溫度介於攝氏25度至攝氏350度。在又一具體實施例中,含氮電漿50之施用溫度介於攝氏100度至攝氏300度。
現參圖6,在施用含氮電漿50之後,在第一傳導類型區域10與第二傳導類型區域15中的含氮層26上沉積一第二金屬閘極導體65。第二金屬閘極導體65係藉由物理氣相沉積(PVD)沉積而成,例如濺鍍或電鍍。在一實例中,第二金屬閘極導體65係由TaN、W、WN、Ti、TiN或其組合所組成。在另一實例中,第二金屬閘極導體65具有之厚度係介於1nm至50nm。
參照圖7,在形成第二金屬閘極導體65之後,第一傳導類型區域10與第二傳導類型區域15係經處理以提供半導體元件。氮化之閘極介電質20、26(也稱為包括含氮層26且位於第一傳導類型區域10中的部分閘極介電質20)係對一n型場效電晶體(FET)提供一閘極結構的閘極介電質。舉例而言,場效電晶體(FET)係形成為含有閘極結構,其包括位於氮化之閘極介電質20上的第一金屬閘極導體60。一nFET係形成為包括由氮化鈦(TiN)組成之第一金屬閘極導體60以及由高k介電質材料(如二氧化鉿)組成之氮化閘極介電質20、26,其中在第一金屬閘極導體60與氮化之閘極導體26、60之間的界面處具有一層氧化鋁(Al2 O3 )。在一實例中,nFET包括由氮化鎢(WN)所組成之一金屬閘極導體與由二氧化鉿組成之一高k介電質材料20。一pFET係形成為包括由氮化鈦(TiN)組成之經氮化第一金屬閘極導體26、60(也稱為包括含氮層26且位於第二傳導類型區域15中之第一金屬閘極導體60的剩餘部分)以及由二氧化鉿組成之閘極介電質20,其中在經氮化之第一金屬閘極導體26、60與閘極介電質20之間的界面處具有一層氧化鉭(TaO)。
在一具體實施例中,包括有第二金屬閘極導體65、含氮層26、第一金屬閘極導體60與高k介電質材料20之層狀堆疊係經圖樣化與蝕刻,以於第一傳導類型區域10中提供一第一閘極結構70,並於基板5的第二傳導類型區域15中提供一第二閘極結構80。第一閘極結構70包括氮化之閘極介電質20、26以及第二金屬閘極導體65,而第二閘極結構80包括高k介電質材料20、氮化之閘極導體26、60以及第二金屬閘極導體65。
更具體而言,在一具體實施例中,形成閘極導堆疊70、80係包括在圖6所示之整體結構上沉積一光阻層(未示)。接著選擇性圖樣化及顯影光阻層,以提供一光阻遮罩(蝕刻遮罩)(未示)來保護第二金屬閘極導體65/第一金屬閘極導體60/高k介電質材料20之層狀堆疊中對應至後續形成之閘極堆疊70、80的部分。接著蝕刻金屬閘極導體60/高k介電質材料20之層狀堆疊的暴露區域,同時保護在光阻遮罩下方的區域以提供對應至FET元件之閘極堆疊70、80。在形成閘極堆疊之後,藉由清除程序(例如氧灰化)來移除光阻遮罩。
接著在次一步驟中,於基板5的第一傳導類型區域10與第二傳導類型區域15中形成延伸源極與汲極區域85。接著在第一閘極結構70與第二閘極結構80比鄰處形成源極與汲極偏移間隔物90,並在基板5的第一傳導類型區域10與第二傳導類型區域15中形成深源極與汲極區域95,如圖7所示。
在一具體實施例中,上述方法提供了圖7所示之半導體元件。半導體元件包括一基板5,其具有一p型元件區域(第一傳導類型區域10)與一n型元件區域(第二傳導類型區域15),其中至少一nFET係位於n型元件區域中,且至少一pFET位於p型元件區域中。在一具體實施例中,該至少一nFET各包括一閘極結構(閘極堆疊70),其包括在基板5上、具有一氮化上表面(含氮層26)之含鉿閘極介電質(高k閘極介電質20)以及直接位於含鉿閘極介電質之含氮上表面上的閘極導體(第二金屬閘極導體65)。在一具體實施例中,該至少一pFET各包括一閘極結構(閘極堆疊80),其包括在基板5上、具有實質上不含氮化物之上表面之含鉿閘極介電質(高k閘極介電質20)以及直接位於含鉿閘極介電質上、不含氮化物的上表面之含鉿閘極介電質的閘極導體(第一金屬閘極導體60),其中在該至少一pFET之閘極結構中之閘極導體的上表面係一氮化上表面(含氮層26)。所述實質上不含氮化物是指氮化物含量低於10.0%,一般係低於5.0%,且更一般是低於1.0%,且在一些具體實施例中氮化物之含量為0.0%。在一具體實施例中,對該至少一pFET之閘極結構包括在具有氮化上表面之閘極導體(第二金屬閘極導體65)之上表面上的另一個閘極導體。
本發明已特定說明了其較佳具體實施例,該領域技術人士應瞭解可對前述內容進行形式上或細部上的調整與改變,其皆不脫於本發明之精神與範疇。因此,本發明不應限於上述形式與細節,其係落於如附申請專利範圍之範疇。
1...隔離區域
5...基板
10...第一傳導類型區域
15...第二傳導類型區域
20...閘極介電質
24...蝕刻遮罩
26...含氮層
50...含氮電漿
55...閘極堆疊
60...閘極導體
65...閘極導體
70...閘極結構
80...閘極結構
85...延伸源極與汲極區域
90...間隔物
95...深源極與汲極區域
上述實施方式係僅為示例而不應作為限制本發明之用,其係結合如附圖式而說明,其中相同的元件符號係代表相同的元件與部分,其中:
圖1係一側截面圖,其說明包括一第一傳導類型區域(即n型元件區域)與一第二傳導類型區域(即p型元件區域)之基板以及包括在基板上之閘極介電質與在閘極介電質上之第一金屬閘極導體之一閘極堆疊。
圖2係一側截面圖,其說明在第二傳導類型區域上形成一蝕刻遮罩,其中該第一傳導類型區域係暴露。
圖3係一側截面圖,其說明移除該第一金屬閘極導體之在第一傳導類型區域中的一部分以暴露該第一傳導類型區域中的該閘極介電質,其中該金屬閘極導體之一剩餘部分是在該第二傳導類型區域中。
圖4係一側截面圖,其說明根據本發明一具體實施例之移除該蝕刻遮罩。
圖5係一側截面圖,其說明對在該第一傳導類型區域中的閘極介電質以及在第二傳導類型區域中的第一金屬閘極導體施用一含氮電漿。
圖6係一側截面圖,其說明,至少在該第一傳導類型區域中之該閘極介電質上形成一第二金屬閘極導體。
圖7係一側截面圖,其說明從圖6所示結構形成半導體元件的一個具體實施例。
上述圖式表示本發明之某些具體實施例,其僅作為描述之用,並非用於限制本發明之範疇。
1...隔離區域
5...基板
10...第一傳導類型區域
15...第二傳導類型區域
20...閘極介電質
26...含氮層
50...含氮電漿
60...閘極導體

Claims (35)

  1. 一種形成半導體元件的方法,包含:形成一閘極堆疊,該閘極堆疊包括一閘極介電質與一第一金屬閘極導體,位於一基板之一第一傳導類型區域與一第二傳導類型區域上;移除該第一金屬閘極導體在該第一傳導類型區域上的一部分以暴露該第一傳導類型區域上的該閘極介電質,其中該第一金屬閘極導體的一剩餘部分係存在於該第二傳導類型區域上;氮化在該第一傳導類型區域上的該閘極介電質以及在該第二傳導類型區域上的該第一金屬閘極導體,其中該氮化包含施用一含氮電漿至該閘極介電質以及該第一金屬閘極導體;以及形成一第二金屬閘極導體,至少覆蓋該第一傳導類型區域上的該閘極介電質。
  2. 如申請專利範圍第1項之方法,其中該第一傳導類型區域係經處理以提供至少一nFET元件,且該第二傳導類型區域係經處理以提供至少一pFET元件。
  3. 如申請專利範圍第1項之方法,其中該閘極介電質係由一高介電常數閘極介電質組成。
  4. 如申請專利範圍第1項之方法,其中移除該第一金屬閘極導體在該第一傳導類型區域上的一部分以暴露該第一傳導類型區域上的該閘極介電質係包含:形成一蝕刻遮罩覆蓋該第二傳導類型區域,其中該第一傳導類型區域外露; 移除該第一金屬閘極導體在該第一傳導類型區域上的一部分以暴露該第一傳導類型區域上的該閘極介電質;以及移除該蝕刻遮罩。
  5. 如申請專利範圍第1項之方法,其中該施用該含氮電漿更包含一N2 /H2 電漿,該N2 /H2 電漿包括由O2 與Si所組成之自由基。
  6. 如申請專利範圍第1項之方法,其中該含氮電漿係氮化該第一傳導類型區域上的該閘極介電質,並氮化該第二傳導類型區域上的該第一金屬閘極導體,其中該閘極介電質中的氮含量係介於0%至50%,且在該第一金屬閘極導體中的氮含量係介於0%至60%。
  7. 如申請專利範圍第1項之方法,更包含:在溫度為攝氏1050度或較低之含氮電漿後進行一退火程序。
  8. 一種形成半導體元件的方法,包含:形成一閘極堆疊,該閘極堆疊包括一閘極介電質與一第一金屬閘極導體,位於一基板的一第一傳導類型區域與一第二傳導類型區域上;形成一蝕刻遮罩覆蓋該第二傳導類型區域,其中該第一傳導類型區域外露;移除該第一金屬閘極導體在該第一傳導類型區域上的一部分,以暴露該第一傳導類型區域上的該閘極介電質;移除該蝕刻遮罩;對該第一傳導類型區域上的該閘極介電質以及該第二傳導類 型區域上的該第一金屬閘極導體施用一含氮電漿,其中該施用該含氮電漿至該閘極介電質以及該第一金屬閘極導體包含一N2 /H2 電漿;以及形成一第二金屬閘極導體,至少覆蓋該第一傳導類型區域中的該閘極介電質。
  9. 如申請專利範圍第8項之方法,其中該第一金屬閘極導體包含鎢、鎳、鈦、鉬、鉭、銅、鉑、銀、金、釕、銥、銠與錸中至少其一。
  10. 如申請專利範圍第8項之方法,其中該閘極介電質包含二氧化鉿、矽化鉿、氮氧矽化鉿、二氧化鋯、氧化鋁、二氧化鈦、氧化鑭、氧化鍶鈦、氧化鑭鋁、氧化釔或其混合物。
  11. 如申請專利範圍第8項之方法,其中該蝕刻遮罩係由一光阻材料所組成。
  12. 如申請專利範圍第8項之方法,其中該對該基板施用該含氮電漿更包含由O2 與Si所組成之自由基。
  13. 一種形成半導體元件的方法,包含:形成一閘極堆疊,該閘極堆疊包括一閘極介電質與一第一金屬閘極導體,位於一基板的一n型元件區域與一p型元件區域上;形成一蝕刻遮罩,覆蓋於該p型元件區域上,其中該n型元件區域外露;移除該金屬閘極導體在該n型元件區域上的一部分,以暴露 該n型元件區域上的該閘極介電質;移除該蝕刻遮罩;對該n型元件區域上的該閘極介電質以及該p型元件區域上的該第一金屬閘極導體施用一含氮電漿;以及形成一第二金屬閘極導體,至少覆蓋該n型元件區域上的該閘極介電質。
  14. 如申請專利範圍第13項之方法,更包含:在一n型元件區域中形成一第一半導體元件,具有介於4.1eV至4.3eV間之一有效工作函數;以及在該p型元件區域中形成一第二半導體元件,具有介於4.9eV至5.2eV間之一有效工作函數。
  15. 如申請專利範圍第14項之方法,其中該第一金屬閘極導體包含一高工作函數金屬,且該第二金屬閘極導體包含一低工作函數金屬。
  16. 一種半導體元件,包含:一基板,包含一p型元件區域與一n型元件區域;至少一nFET,存在該n型元件區域中且包含一第一閘極結構,該第一閘極結構包括一第一含鉿閘極介電質,該第一含鉿閘極介電質具有位於該基板上且該n型元件區域中的一第一氮化鉿上表面,以及直接位於該第一含鉿閘極介電質之該第一氮化鉿上表面上的一第一閘極導體,其中該第一氮化鉿上表面係直接位於該第一含鉿閘極介電質上;以及至少一pFET,位於該p型元件區域中且包含一第二閘極結構,該第二閘極結構包括一第二含鉿閘極介電質,該第二含鉿閘 極介電質具有位於該基板上、該p型元件區域中且實質上不含氮化物的一上表面,以及直接位於該第二含鉿閘極介電質上且具有該實質上不含氮化物之上表面的一第二閘極導體,其中在該至少一pFET的該第二閘極結構中之該第二閘極導體的一上表面係一氮化上表面。
  17. 如申請專利範圍第16項之半導體元件,其中該至少一pFET的該第二閘極結構更包含一上閘極導體,位於具有該第二氮化上表面之該第二閘極導體的該上表面上。
  18. 如申請專利範圍第16項之半導體元件,其中實質上不含氮化物係指氮化物含量低於1.0%。
  19. 如申請專利範圍第16項之半導體元件,其中該第一含鉿閘極介電質之該第一氮化鉿上表面中之氮含量為50%,而該第二閘極導體之該第二氮化上表面中之氮含量為60%。
  20. 如申請專利範圍第16項之半導體元件,其中該第一閘極導體包含鎢、鎳、鈦、鉬、鉭、銅、鉑、銀、金、釕、銥、銠與錸中至少其一。
  21. 如申請專利範圍第16項之半導體元件,其中該nFET元件具有介於4.1eV至4.3eV間之一有效工作函數;以及該pFET元件具有具有介於4.9eV至5.2eV間之一有效工作函數。
  22. 如申請專利範圍第16項之半導體元件,其中該nFET元件之 該第一閘極導體包含一高工作函數金屬,且該pFET元件之該第二閘極導體包含一低工作函數金屬。
  23. 如申請專利範圍第16項之半導體元件,其中該nFET元件之該第一閘極導體包含氮化鉭、鎢、氮化鎢、鈦、氮化鈦或其組合。
  24. 如申請專利範圍第16項之半導體元件,其中該pFET元件之該第二閘極導體包含鎢、鎳、鈦、鉬、鉭、銅、鉑、銀、金、釕、銥、銠與錸或其組合及其氮化物。
  25. 如申請專利範圍第16項之半導體元件,其中該第一含鉿閘極介電質之該第一氮化鉿上表面的厚度介於1nm至10nm間。
  26. 如申請專利範圍第16項之半導體元件,其中該第二閘極導體之該第二氮化上表面的厚度介於1nm至20nm間。
  27. 一種半導體元件,包含:一基板,包含一p型元件區域與一n型元件區域;至少一nFET,存在該n型元件區域中且包含一第一閘極結構,該第一閘極結構包括一第一閘極介電質,該第一閘極介電質具有位於該基板上且該n型元件區域中的一第一氮化上表面,以及直接位於該第一閘極介電質之該第一氮化上表面上的一第一閘極導體,其中該第一閘極介電質以及該第一氮化上表面包含鉿;以及至少一pFET,位於該p型元件區域中且包含一第二閘極結構,該第二閘極結構包括一第二閘極介電質,該第二閘極介電質 具有位於該基板上、該p型元件區域中且實質上不含氮化物的一上表面,以及直接位於該第二閘極介電質上且具有該實質上不含氮化物之上表面的一第二閘極導體,其中在該至少一pFET的該第二閘極結構中之該第二閘極導體的一上表面係一氮化上表面。
  28. 如申請專利範圍第27項之半導體元件,其中該第一閘極介電質與該第二閘極介電質中至少之一包含二氧化鉿、矽化鉿、氮氧矽化鉿、二氧化鋯、氧化鋁、二氧化鈦、氧化鑭、氧化鍶鈦、氧化鑭鋁、氧化釔或其混合物。
  29. 如申請專利範圍第27項之半導體元件,其中該至少一pFET的該第二閘極結構更包含一上閘極導體,位於具有該氮化上表面之該閘極導體的該上表面上。
  30. 如申請專利範圍第27項之半導體元件,其中實質上不含氮化物係指氮化物含量低於1.0%。
  31. 如申請專利範圍第27項之半導體元件,其中該第一閘極介電質之該第一氮化上表面中之氮含量為50%,而該第二閘極導體之該第二氮化上表面中之氮含量為60%。
  32. 如申請專利範圍第27項之半導體元件,其中該nFET元件具有介於4.1eV至4.3eV間之一有效工作函數;以及該pFET元件具有具有介於4.9eV至5.2eV間之一有效工作函數。
  33. 如申請專利範圍第27項之半導體元件,其中該nFET元件之 該第一閘極導體包含氮化鉭、鎢、氮化鎢、鈦、氮化鈦或其組合;以及該pFET元件之該第二閘極導體包含鎢、鎳、鈦、鉬、鉭、銅、鉑、銀、金、釕、銥、銠與錸或其組合及其氮化物。
  34. 如申請專利範圍第27項之半導體元件,其中該第一閘極介電質之該第一氮化上表面的厚度介於1nm至10nm間。
  35. 如申請專利範圍第27項之半導體元件,其中該第二閘極導體之該第二氮化上表面的厚度介於1nm至20nm間。
TW099109564A 2009-04-14 2010-03-30 金屬高介電常數場效電晶體之雙金屬與雙介電質整合 TWI476822B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/423,236 US7943457B2 (en) 2009-04-14 2009-04-14 Dual metal and dual dielectric integration for metal high-k FETs

Publications (2)

Publication Number Publication Date
TW201108309A TW201108309A (en) 2011-03-01
TWI476822B true TWI476822B (zh) 2015-03-11

Family

ID=42933697

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099109564A TWI476822B (zh) 2009-04-14 2010-03-30 金屬高介電常數場效電晶體之雙金屬與雙介電質整合

Country Status (7)

Country Link
US (2) US7943457B2 (zh)
EP (1) EP2419925B1 (zh)
JP (1) JP5579828B2 (zh)
CN (1) CN102341894A (zh)
BR (1) BRPI1006585A2 (zh)
TW (1) TWI476822B (zh)
WO (1) WO2010120842A1 (zh)

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8106455B2 (en) * 2009-04-30 2012-01-31 International Business Machines Corporation Threshold voltage adjustment through gate dielectric stack modification
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US7943458B2 (en) * 2009-10-06 2011-05-17 International Business Machines Corporation Methods for obtaining gate stacks with tunable threshold voltage and scaling
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8354313B2 (en) * 2010-04-30 2013-01-15 International Business Machines Corporation Method to optimize work function in complementary metal oxide semiconductor (CMOS) structures
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
TWI566300B (zh) * 2011-03-23 2017-01-11 斯克林集團公司 熱處理方法及熱處理裝置
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
WO2013022753A2 (en) 2011-08-05 2013-02-14 Suvolta, Inc. Semiconductor devices having fin structures and fabrication methods thereof
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US9595443B2 (en) * 2011-10-20 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a semiconductor device
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US8941177B2 (en) 2012-06-27 2015-01-27 International Business Machines Corporation Semiconductor devices having different gate oxide thicknesses
KR20140016694A (ko) * 2012-07-31 2014-02-10 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
US8829616B2 (en) 2012-10-25 2014-09-09 International Business Machines Corporation Method and structure for body contacted FET with reduced body resistance and source to drain contact leakage
CN104854698A (zh) 2012-10-31 2015-08-19 三重富士通半导体有限责任公司 具有低变化晶体管外围电路的dram型器件以及相关方法
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US9219078B2 (en) 2013-04-18 2015-12-22 International Business Machines Corporation Simplified multi-threshold voltage scheme for fully depleted SOI MOSFETs
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
US9093387B1 (en) * 2014-01-08 2015-07-28 International Business Machines Corporation Metallic mask patterning process for minimizing collateral etch of an underlayer
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
KR102365687B1 (ko) 2015-04-21 2022-02-21 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9837487B2 (en) 2015-11-30 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stack
US9768171B2 (en) * 2015-12-16 2017-09-19 International Business Machines Corporation Method to form dual tin layers as pFET work metal stack
US9559016B1 (en) * 2016-01-15 2017-01-31 International Business Machines Corporation Semiconductor device having a gate stack with tunable work function
US10062693B2 (en) 2016-02-24 2018-08-28 International Business Machines Corporation Patterned gate dielectrics for III-V-based CMOS circuits
US10593600B2 (en) 2016-02-24 2020-03-17 International Business Machines Corporation Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap
US10535524B1 (en) * 2019-03-11 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning threshold voltage through meta stable plasma treatment

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291282B1 (en) * 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US20050035417A1 (en) * 2003-08-13 2005-02-17 Visokay Mark R. Dual metal-alloy nitride gate electrodes
US20050274978A1 (en) * 2004-05-27 2005-12-15 Antoniadis Dimitri A Single metal gate material CMOS using strained si-silicon germanium heterojunction layered substrate

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002198441A (ja) * 2000-11-16 2002-07-12 Hynix Semiconductor Inc 半導体素子のデュアル金属ゲート形成方法
US8026161B2 (en) * 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6900122B2 (en) * 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US6767795B2 (en) * 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US6893984B2 (en) * 2002-02-20 2005-05-17 Micron Technology Inc. Evaporated LaA1O3 films for gate dielectrics
US6693004B1 (en) * 2002-02-27 2004-02-17 Advanced Micro Devices, Inc. Interfacial barrier layer in semiconductor devices with high-K gate dielectric material
US6750066B1 (en) * 2002-04-08 2004-06-15 Advanced Micro Devices, Inc. Precision high-K intergate dielectric layer
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7135421B2 (en) * 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US6767847B1 (en) * 2002-07-02 2004-07-27 Taiwan Semiconductor Manufacturing Company Method of forming a silicon nitride-silicon dioxide gate stack
US6982230B2 (en) * 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
US7192892B2 (en) * 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US7135369B2 (en) * 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US7091118B1 (en) * 2004-11-16 2006-08-15 Advanced Micro Devices, Inc. Replacement metal gate transistor with metal-rich silicon layer and method for making the same
US7297586B2 (en) * 2005-01-26 2007-11-20 Freescale Semiconductor, Inc. Gate dielectric and metal gate integration
US7504700B2 (en) * 2005-04-21 2009-03-17 International Business Machines Corporation Method of forming an ultra-thin [[HfSiO]] metal silicate film for high performance CMOS applications and semiconductor structure formed in said method
US7504329B2 (en) * 2005-05-11 2009-03-17 Interuniversitair Microelektronica Centrum (Imec) Method of forming a Yb-doped Ni full silicidation low work function gate electrode for n-MOSFET
US7569466B2 (en) * 2005-12-16 2009-08-04 International Business Machines Corporation Dual metal gate self-aligned integration
US7833849B2 (en) * 2005-12-30 2010-11-16 International Business Machines Corporation Method of fabricating a semiconductor structure including one device region having a metal gate electrode located atop a thinned polygate electrode
US20070152276A1 (en) * 2005-12-30 2007-07-05 International Business Machines Corporation High performance CMOS circuits, and methods for fabricating the same
US7611979B2 (en) * 2007-02-12 2009-11-03 International Business Machines Corporation Metal gates with low charge trapping and enhanced dielectric reliability characteristics for high-k gate dielectric stacks
US20080203485A1 (en) * 2007-02-28 2008-08-28 International Business Machines Corporation Strained metal gate structure for cmos devices with improved channel mobility and methods of forming the same
US7772016B2 (en) * 2007-04-04 2010-08-10 International Business Machines Corporation Method for composition control of a metal compound film
US7863124B2 (en) * 2007-05-10 2011-01-04 International Business Machines Corporation Residue free patterned layer formation method applicable to CMOS structures
JP5151303B2 (ja) * 2007-08-07 2013-02-27 ソニー株式会社 半導体装置の製造方法
JP2009044051A (ja) * 2007-08-10 2009-02-26 Panasonic Corp 半導体装置及びその製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291282B1 (en) * 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US20050035417A1 (en) * 2003-08-13 2005-02-17 Visokay Mark R. Dual metal-alloy nitride gate electrodes
US20050274978A1 (en) * 2004-05-27 2005-12-15 Antoniadis Dimitri A Single metal gate material CMOS using strained si-silicon germanium heterojunction layered substrate

Also Published As

Publication number Publication date
TW201108309A (en) 2011-03-01
US20100258881A1 (en) 2010-10-14
JP5579828B2 (ja) 2014-08-27
EP2419925B1 (en) 2020-07-08
CN102341894A (zh) 2012-02-01
US8436427B2 (en) 2013-05-07
EP2419925A1 (en) 2012-02-22
EP2419925A4 (en) 2016-03-30
JP2012524413A (ja) 2012-10-11
US20110180880A1 (en) 2011-07-28
WO2010120842A1 (en) 2010-10-21
US7943457B2 (en) 2011-05-17
BRPI1006585A2 (pt) 2019-04-02

Similar Documents

Publication Publication Date Title
TWI476822B (zh) 金屬高介電常數場效電晶體之雙金屬與雙介電質整合
KR100911743B1 (ko) 반도체 디바이스 및 이의 제조 방법
US7084024B2 (en) Gate electrode forming methods using conductive hard mask
US7863126B2 (en) Fabrication of a CMOS structure with a high-k dielectric layer oxidizing an aluminum layer in PFET region
US8525263B2 (en) Programmable high-k/metal gate memory device
US7687869B2 (en) Semiconductor device and method of manufacturing the same
US7495298B2 (en) Insulating buffer film and high dielectric constant semiconductor device and method for fabricating the same
US8183641B2 (en) Semiconductor device and method for manufacturing same
US7569891B2 (en) Semiconductor device with reduced contact resistance and method for manufacturing the same
JP5569173B2 (ja) 半導体装置の製造方法及び半導体装置
US20100213555A1 (en) Metal oxide semiconductor devices having capping layers and methods for fabricating the same
JP2009283906A (ja) 半導体装置及びその製造方法
US20100252888A1 (en) Semiconductor device
JP2009267180A (ja) 半導体装置
US20070257320A1 (en) Semiconductor device and manufacturing method thereof
JP4163164B2 (ja) 半導体装置およびその製造方法
JP2006108355A (ja) 半導体装置およびその製造方法
US7498271B1 (en) Nitrogen based plasma process for metal gate MOS device
JP2008244331A (ja) 半導体装置およびその製造方法
JP2009272368A (ja) 半導体装置の製造方法および半導体装置
US20140151818A1 (en) Semiconductor device with a silicon dioxide gate insulation layer implanted with a rare earth element and methods of making such a device