TWI470717B - 阻擋用的內襯遮蓋 - Google Patents

阻擋用的內襯遮蓋 Download PDF

Info

Publication number
TWI470717B
TWI470717B TW96140864A TW96140864A TWI470717B TW I470717 B TWI470717 B TW I470717B TW 96140864 A TW96140864 A TW 96140864A TW 96140864 A TW96140864 A TW 96140864A TW I470717 B TWI470717 B TW I470717B
Authority
TW
Taiwan
Prior art keywords
lining
assembly
cover
top plate
covering
Prior art date
Application number
TW96140864A
Other languages
English (en)
Other versions
TW200830451A (en
Inventor
Tom L Cadwell
Michael Sklyar
Original Assignee
Ferrotec Usa Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ferrotec Usa Corp filed Critical Ferrotec Usa Corp
Publication of TW200830451A publication Critical patent/TW200830451A/zh
Application granted granted Critical
Publication of TWI470717B publication Critical patent/TWI470717B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Silicon Compounds (AREA)

Description

阻擋用的內襯遮蓋
本發明係有關於一種使用於基材之熱製程的配備,特別是有關於一種在一熱熔爐中使用於半導體製程的管狀內襯。
批式熱製程係連續地被使用於矽積體電路製造的幾個步驟中。在一低溫熱製程中,利用化學氣相沉積法沉積一層氮化矽,其通常是在約700℃的溫度範圍內,使用氯矽烷及氨作為前驅氣體。其它高溫製程包括氧化、退火、矽化及其他通常使用較高溫度的製程,例如1000℃以上或甚至1350℃。
對於大規模的商業製造,通常在如第1圖所繪示之橫切面圖的結構中,會使用垂直熔爐及垂直排列的晶圓塔體,而該晶圓塔體係用以支撐熔爐內大量的晶圓。熔爐10包括一熱絕緣的加熱器筒12,用以支撐一耐熱的加熱線圈14,加熱線圈14則係為一未繪示之電源供應器所驅動。鐘形罩16通常由石英所構成,包括一半球形頂蓋18,並且合置於加熱線圈14內。一開放端式(open-ended)內襯20合置於鐘形罩16內。一支撐用塔體22坐落於一基座24上,並且在製程中,基座24及塔體22通常為內襯20所圍繞。塔體22包括三或四垂直延伸的支腳26,而支腳26則固定於塔體頂盤28及塔體底盤30。支腳26包括垂直排列的溝槽32,用於承載多數垂直排列且水平配置的晶圓34,這些晶圓34將於批式模式中進行熱製程。通常至少一的氣體注入器36配置於內襯20與塔體22之間,並且塔體22具有多數氣體排口38於不同的高度,用以在內襯20內注入製程氣體。另外,藉由一未繪示的真空幫浦,經由鐘形罩16的底部以移除製程氣體。通常,內襯20的頂部係保留開放,以使得製程中製程氣體在內襯20內可以往上流動,並且在繞著內襯20外部下降至真空幫浦之前,能在內襯20的頂部排出。加熱器筒12、鐘形罩16及內襯20可以垂直升高以容許晶圓34能自塔體22來往傳送,儘管在一些結構中,當一升降機抬升及下降基座24而將塔體22裝入或取出熔爐10的底部時,這些元件係保持固定。
以半球形頂蓋18封閉其上部的鐘形罩16,可以在熔爐10垂直的中部及上部產生均勻的熱度。此乃所謂的熱區(hot zone),其溫度可被調控以達成最佳的熱製程。然而,鐘形罩16開放的底部及基座22的機械支撐卻導致熔爐10的較低部位具有較低的溫度,其溫度常會低到使得熱製程例如化學氣相沉積無法有效進行。熱區可以排除塔體22一些較低部位的溝槽。
通常在低溫的應用上,塔體、內襯、半球形頂蓋及注入器係由石英所構成,且通常是熔矽石。然而,石英塔體及注入器可以矽塔體、內襯及注入器來取代。碳化矽塔體亦可得之。針對不同的應用,矽塔體會具有一些結構上的差異,而矽注入器則得購自Integrated Materials,Inc.of Sunnyvale,California,並且分別揭露於美國專利公告號6,450,346(Boyle et al.)及美國專利公開號2006/0185589(Zehavi et al.)。以矽側板(silicon staves)結合在一起而形成管狀(實際上係為多邊形)的矽內襯則揭露於美國專利公告號7,137,546(Boyle et al.)中。一較佳的矽內襯設計則揭露於美國專利公開號11/536,352(Reese et al.)中,包括利用通常為矩形的矽側板,其共同邊具有連鎖(interlocking)的構造。在原生多晶矽(virgin polysilicon)(亦稱為電子級矽)的形式中,具有非常高純度的矽,因此雜質非常少。然而,矽的構件被定義為包含至少95%,最佳是99%的矽元素。
使用矽塔體、矽內襯及矽注入器可以讓熱區只包含矽部分,而實質降低污染及微粒。然而,不管內襯20及塔體22的組成為何,現在相信鐘形罩16的半球形頂蓋18會產生相當數量的微粒(大小約0.2至1微米甚至更大),這些粒子會掉落至內襯20的開放端,並且從該處落至塔體22上及其所支撐的晶圓。任何有微粒掉落其上的模具可能不能操作或者至少是靠不住的。也就是說,微粒會嚴重地影響產率。然而,密封內襯20的上部將會防止來自氣體注入器36的製程氣體以一規則的流動形態通過塔體22及其所支撐的晶圓34。
在本發明所述之一實施例中,其包括一使用於一內襯的遮蓋,該遮蓋係於一熱基材製程中用以容納一塔體,而該塔體則係於一垂直排列方向上用以支撐多數基材。該遮蓋可於防止大部分微粒掉落至內襯內時,允許製程氣體流動通過其上。該遮蓋可以包括複數孔洞,而該複數孔洞所佔的面積不超過該遮蓋表面積或該內襯開口的10%。該內襯及該遮蓋可以由石英、碳化矽或矽所構成,最佳者係兩者由相同的物質構成。
該複數孔洞並不需要受到上述的面積限制,而該複數孔洞最好是水平橫向於該塔體及該內襯的垂直軸線而延伸,並且該遮蓋並無任何完全垂直延伸通過其上的孔洞。該複數孔洞可以係一阻擋的形式,或者是形成具有至少一直角轉彎的迴旋通道。
在本發明所述之另一實施例中,該複數孔洞可以10%或更小的面積限制垂直延伸。
更有益者係附屬於該遮蓋之該阻擋結構乃於該塔體之上部端盤中安置於一中央孔洞內。
如第1圖所示,本發明所述之一實施例,其包括一遮蓋40,配置於內襯20上部之上,內襯20能捕捉掉落的微粒,但允許製程氣體規則地在內襯20的內側與熔爐10上部間流動。如第1圖所示實施例之遮蓋40,其包括一通常為平面的頂盤42及一寬大的中央孔洞44,頂盤42的周邊為內襯20所支撐,而中央孔洞44則通常環繞著塔體22及其所支撐之晶圓34的中央軸排列。一水平延伸的底板46利用側壁48懸掛在頂盤42上。複數水平延伸的擋孔50穿過側壁48以提供內襯20內外側間有限的氣流。
氣體注入器36將製程氣體注入內襯20的內側,並且利用來自內襯20外側之裝置幫浦底部之未繪示的真空幫浦,使製程氣體可以通過擋孔50,快速地由內襯20的內側流向外側。掉落自鐘型罩16之半球形頂蓋18的重微粒會掉落到頂盤42上或是底板46上。而較輕微粒雖然會乘著製程氣體的氣流,但是通過擋孔50之快速的氣流會防止這些較輕微粒進入內襯20內側、塔體22上及其所支撐的晶圓34。在此一實施例中,沒有任何孔洞垂直延伸通過遮蓋20,而使微粒直接掉落進入內襯20內側。
本發明所述之第二實施例,如第2圖所示,其包括一具有三個部份的遮蓋51,此三部份最佳係結合在一起。一環狀碟形遮蓋盤52通常對稱於熔爐10之一中央軸。操作上,遮蓋盤52係置於相反於半球形頂蓋18之內襯20的頂部,其可包括一選擇性提供的外部框邊54,此外部框邊54則係環繞著內襯20而安置,以使得內襯20能支撐並且排列遮蓋盤52。惟在此一實施例中,框邊54並未結合至內襯20。框邊54可以一位於遮蓋盤52底部的環狀溝槽或凹口來置換,用以捕抓內襯20的頂部。遮蓋盤52之一中央孔洞可容納並且密封至一城堡狀的擋件(baffle member)56,而擋件56具有一中央口58開口於遮蓋51與半球形頂蓋18間的空間。多數通道60水平且自中央口58的垂直軸放射狀地向外延伸。擋件56的底部乃固定於一底板件(floor member)62,此底板件62具有一向上延伸的框邊64,框邊64乃形成反轉杯狀用以定義一迴旋氣流路徑,在其進入內襯20內的製程空間之前,係以放射狀通過通道60、軸向向外通過一環狀垂直延伸的裂口66(位於擋件56外側與框邊64內側之間)及環狀放射狀向外延伸的裂口68(位於框邊64頂部與遮蓋盤52底部之間)。然而,製程氣體在相對方向上有效率地自內襯20內的製程空間流至內襯20外的中央口58。此迴旋氣流通道包括三個直角轉彎。
晶圓支撐塔體22的頂盤28包括一中央孔洞70,可以容納底板件62及遮蓋51之擋件56的下部,藉以節省熔爐10內的垂直空間。位於遮蓋頂盤28的榫眼72可以接受塔體22的支腳26,而支腳26則結合至塔體22的頂盤28。
在本發明所述之一實施例中,遮蓋盤52、擋件56及底板件62係由矽所構成,最佳者為原生多晶矽,並且以旋塗式玻璃(spin-on glass)與矽粉所組成的複合黏著劑結合在一起,請參照美國專利證號16,083,694(Boyle et al.)。
掉落自半球形頂蓋18的微粒大部分掉落在遮蓋盤52,並且停留在那裡。掉落進擋件56中央孔58的較重微大部分粒掉落在底板件62曝露的頂部表面,並且停留在哪裡。在製程中,製程氣體在放射狀向內流經通道60之前,通常自塔體附近通過一迴旋通道包括裂口66、68(位於底板件52向上延伸的框邊54與城堡狀件46外部邊之間),流入擋件56的中央孔58,並且接著向上流向鐘形罩16的頂部。因此,此氣流有助於將任何未靜落的微粒尤其是較輕微粒,自內襯20的內側帶出並且清除出來,更可藉由提高流經有限通道的流速,來增強其作用。
參照第2圖,其所繪示之內襯20為一管狀構件。然而,以通常為矩形的矽側板結合在其邊緣以形成一多邊形管狀構件內襯的矽內襯已經被開發出來。
遮蓋51可以結合至內襯20或是不以其20為支撐。後者的結構可簡化內襯20與遮蓋40的清洗及維護。後者結構可以改變遮蓋盤52周邊的銜接結構。
參照第3、4圖所示,乃繪示本發明之一第三實施例的遮蓋80,其包括一通常為碟形的頂盤82,此頂盤82具有一多邊形的外部形狀,與內襯20之多邊形排列材料一致。一周邊凹口84允許頂盤82支撐於其84上且為內襯20的材料所排列成線。頂盤82包括一中央孔洞,此中央孔洞具有一環狀內部及下部接嘴86,用以支撐一杯狀構造90之一外部框邊88。最佳者係杯狀構造90在接嘴86與框邊88間的界面上結合至頂盤82。一軸向延伸的管狀側壁92懸掛一來自框邊88的水平延伸的底部94,並且包括複數放射狀延伸的孔洞96。一反轉罩蓋98乃結合至杯狀構造90的底部94,並且包括一向上延伸的環狀凸緣100,此凸緣100在其與頂盤82底部及側壁92的外部表面間定義了一環狀迴旋通道102。遮蓋80顯示了與第2圖所示遮蓋51相似的氣流、微粒排除及與塔體的安置。
參照第5圖,乃繪示本發明之一較為簡易的第四實施例,其包括一碟形的頂盤112,此頂盤112具有一附屬附屬框邊114環繞安置於內襯的頂部20。複數斜向至熔爐中央垂直軸的傾斜孔洞116係以機械製造至頂盤112,因此,在孔洞116中沒有垂直的路徑延伸通過平行於熔爐中央軸的頂盤112。孔洞116的橫切面可以為圓形,並且鑽穿過頂盤112。因此,掉落自鐘形罩16之半球形頂蓋18的微粒可能掉落並且黏附於頂盤112頂部或傾斜孔洞116的下部表面118上。如同先前所述,製程氣體通常向上流動,也就是通過傾斜孔洞116之通常的向上方向,因此,其氣流傾向於攜帶微粒離開內襯20的內部。
參照第6圖,乃繪示本發明之一相關第五實施例的內襯遮蓋120,並與第5圖相似。其包括複數鋸齒狀孔洞延伸通過頂盤並且具有在頂盤112中部之相對傾斜方向的孔洞之間具有一劇烈的轉彎。孔洞122的橫切面可以為圓形,並且鑽穿自頂盤112的任一側至接近中部時交會。在第5或6圖所示之實施例中,附屬框邊114可為一通常環狀的凹口所替換,該凹口位於下部外部周邊,用以提供在內襯20頂部上相似的排列。
參照第7圖,乃繪示本發明一相似實施例之內襯遮蓋130,其包括一通常為盤型平面的頂盤132,此頂盤132具有一下部外部的周邊凹口134,用以支撐並且在內襯20等部上排列成線。頂盤132亦包括通過其上的複數垂直孔洞136,用以提供內襯20內外側之間的液體交流。垂直孔洞136佔據內襯20頂部之一小部分表面,其比例不超過10%,且最佳者係小於5%。然而,為了不過度妨礙液體的流動,孔洞136應該佔據內襯20頂部表面至少0.5%,且最佳者係至少1%。這些比例可以擇一地以遮蓋本身的橫切面來表示。再者,孔洞136的橫切面可以為圓形並且鑽穿。掉落自半球形頂蓋的微粒大部分襲擊頂盤132頂部表面之未鑽孔的部份,並且黏附在哪裡。這些掉落在孔洞136區域的微粒遇到通過孔洞136之增強的氣流,一些較重的掉落微粒可依然通過孔洞134掉落並且進入製程空間。然而,其數量在一開放式內襯頂部的設計下會大量降低。
就一全矽的熱區而言,遮蓋部分最好係相同的矽部分,可以用於係塔體及內襯的原生多晶矽,結合用於塔體及內襯之相同的複合SOD/矽黏著劑。然而,其他種類的矽例如Czochralski及cast silicon亦可在製程中做為替代而不會有太多的影響。遮蓋不只是由純物質所構成,而且在遮蓋與支撐的內襯間具有一最小的熱膨脹差異。大型的矽頂盤,尤其是300mm製程所需者,可以由多數較小的矽棒(silicon bars),透過黏著劑(旋塗式玻璃及矽粉的複合物)於其側邊的連鎖接合而結合在一起所形成,請參照前述之Reese等人的專利。這樣的做法可以使得以非常純之原生多晶矽製造大型遮蓋的商業製造變得可能。
然而,本發明並不侷限於形成塔體、內襯及遮蓋的矽部。本發明可以應用於其他物質例如石英及碳化矽,包括矽滲入(silicon-impregnated)的碳化矽,最佳者係具有一微粒產生少於熔爐的頂蓋或其他部分的組成。惟最佳者仍以內襯及遮蓋具有相同組成以消除差異性熱膨脹,以及加熱及冷卻時遮蓋對於內襯的摩擦。
10...熔爐
12...加熱器筒
14...加熱線圈
16...鐘形罩
18...半球形頂蓋
20...內襯
22...塔體
24...基座
26...支腳
28...頂盤
30...底盤
32...溝槽
34...晶圓
36...氣體注入器
38...氣體排口
40...遮蓋
42...頂盤
44...中央孔洞
46...底板
48...側壁
50...擋孔
51...遮蓋
52...遮蓋盤
54...框邊
56...擋件
58...中央口
60...通道
62...底板件
64...框邊
66...裂口
68...裂口
70...中央孔洞
72...榫眼
80...遮蓋
82...頂盤
84...凹口
86...接嘴
88...框邊
90...杯狀構造
92...側壁
94...底部
96...孔洞
98...罩蓋
100...凸緣
102...通道
112...頂盤
114...附屬框邊
116...孔洞
122...孔洞
132...頂盤
134...凹口
第1圖係一熱製程熔爐的橫切面圖,其中包括該熱製程熔爐的不同部分以及本發明之一實施例。
第2圖係一內襯遮蓋的剖面立體圖,其係依據本發明所述之一內襯遮蓋之一第二實施例。
第3、4圖係一內襯遮蓋的剖面立體,其係依據本發明所述之一內襯遮蓋之一第三實施例。
第5、6及7圖係內襯遮蓋的橫切面圖,其分別係依據本發明所述之一內襯遮蓋之一第四、第五及第六實施例。
10...熔爐
12...加熱器筒
14...加熱線圈
16...鐘形罩
18...半球形頂蓋
20...內襯
22...塔體
24...基座
26...支腳
28...頂盤
30...底盤
32...溝槽
34...晶圓
36...氣體注入器
38...氣體排口
40...遮蓋
42...頂盤
44...中央孔洞
46...底板
48...側壁
50...擋孔

Claims (17)

  1. 一種用於一熱製程熔爐的內襯組件,至少包含:一大致管狀內襯,具有沿著一中央軸而延伸之複數邊,用以容納一塔體,其中該塔體於一水平方位支撐複數晶圓;以及一位於該內襯之一頂部上之遮蓋,該遮蓋包含一碟形平盤,且該遮蓋具有複數通道,該些通道沿著複數通道軸通過該遮蓋,該些通道軸至少部分向該中央軸傾斜,其中該些通道係以一或多個傾斜角度鑽穿於該碟形平盤中。
  2. 如申請專利範圍第1項所述之內襯組件,其中該管狀內襯包含複數結合在一起的側板。
  3. 如申請專利範圍第1項所述之內襯組件,其中該遮蓋係未固定於該內襯。
  4. 如申請專利範圍第1項所述之內襯組件,其中該遮蓋係固定於該內襯。
  5. 如申請專利範圍第1項所述之內襯組件,其中該些通道之至少一部分係垂直延伸至該中央軸。
  6. 如申請專利範圍第1項所述之內襯組件,其中該遮蓋於該塔體之一頂盤之一中央孔洞內係部分安置,該塔體係固 定於該塔體之複數支腳,該些晶圓被支撐在該些支腳上。
  7. 如申請專利範圍第1項所述之內襯組件,其中該遮蓋包含一外部環狀且平面部分及一中央部分,該中央部分安置於該環狀部分之一中央孔洞並且包含該些通道。
  8. 如申請專利範圍第1-7項中任一項所述之內襯組件,其中該內襯及該遮蓋係由至少99%的矽所構成。
  9. 如申請專利範圍第1-7項中任一項所述之內襯組件,其中該內襯及該遮蓋包含至少一多成分之含矽物質,該含矽物質係選自於石英及碳化矽所組成的族群。
  10. 一種用於一熱製程熔爐的內襯組件,其包含:一大致上管狀之內襯,具有沿著一中央軸而延伸之複數邊,用以容納一塔體,其中該塔體於一水平方位支撐複數晶圓;以及一位於該內襯之一頂部上之遮蓋,具有複數鋸齒狀通道,該些鋸齒狀通道通過該遮蓋,其中該些鋸齒狀通道在該遮蓋之一頂盤中相對傾斜方向之孔洞的部分之間具有一劇烈的轉彎。
  11. 如申請專利範圍第10項所述之內襯組件,其中該些通道至少部分沿著一傾向該中央軸的方向延伸。
  12. 如申請專利範圍第10或11項所述之內襯組件,其中該內襯及該遮蓋皆包含一多成分之含矽物質,該含矽物質係選自於石英及碳化矽所組成的族群。
  13. 如申請專利範圍第10或11項所述之內襯組件,其中該內襯及該遮蓋皆包含矽構成的物質。
  14. 一種阻擋用的內襯遮蓋,該內襯遮蓋安置於一管狀內襯的頂部,以於該內襯內容納一基材支撐塔體,其包含:一頂盤,沿著一軸線於一平面上延伸且具有複數周邊以被支撐在該內襯上,並且具有一中央孔洞;一阻擋組件,具有一框邊、一大致管狀壁與複數水平孔洞且在其底部支撐一連續底板,該框邊於該阻擋組件的頂部安置於該中央孔洞,該大致管狀壁開口於該阻擋組件的頂部,而該阻擋組件的頂部係位於該頂盤之一平面內,該大致管狀壁平行於該軸線而延伸,該複數水平孔洞通過該阻擋組件,該連續底板垂直於該軸線而延伸。
  15. 如申請專利範圍第14項所述之內襯遮蓋,其中該阻擋組件更包含一環狀凸緣,該環狀凸緣係連結於該連續底板之一外部分並向上朝該頂盤延伸,且在該環狀凸緣與相鄰於該複數水平孔洞之該大致管狀壁間產生一環狀垂直通道,以及位在該環狀凸緣與與該頂盤之一底部間之一連結 環狀水平通道。
  16. 如申請專利範圍第14或15項所述之內襯遮蓋,其中該頂盤及該阻擋組件皆包含一多成分之含矽物質,該含矽物質係選自於石英及碳化矽所組成的族群。
  17. 如申請專利範圍第14或15項所述之內襯遮蓋,其中該頂盤及該阻擋組件皆包含矽構成的物質。
TW96140864A 2006-10-30 2007-10-30 阻擋用的內襯遮蓋 TWI470717B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/554,154 US7736437B2 (en) 2006-02-03 2006-10-30 Baffled liner cover

Publications (2)

Publication Number Publication Date
TW200830451A TW200830451A (en) 2008-07-16
TWI470717B true TWI470717B (zh) 2015-01-21

Family

ID=39344589

Family Applications (1)

Application Number Title Priority Date Filing Date
TW96140864A TWI470717B (zh) 2006-10-30 2007-10-30 阻擋用的內襯遮蓋

Country Status (5)

Country Link
US (1) US7736437B2 (zh)
JP (1) JP5119258B2 (zh)
KR (1) KR101167664B1 (zh)
TW (1) TWI470717B (zh)
WO (1) WO2008054692A1 (zh)

Families Citing this family (299)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090308315A1 (en) * 2008-06-13 2009-12-17 Asm International N.V. Semiconductor processing apparatus with improved thermal characteristics and method for providing the same
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8398773B2 (en) 2011-01-21 2013-03-19 Asm International N.V. Thermal processing furnace and liner for the same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10224222B2 (en) 2014-09-09 2019-03-05 Asm Ip Holding B.V. Assembly of liner and flange for vertical furnace as well as a vertical process furnace
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6462161B2 (ja) * 2016-02-09 2019-01-30 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194030B1 (en) * 1999-03-18 2001-02-27 International Business Machines Corporation Chemical vapor deposition velocity control apparatus
US6495473B2 (en) * 2001-05-14 2002-12-17 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
TW517092B (en) * 1999-03-17 2003-01-11 Kobe Steel Ltd High-temperature and high-pressure treatment device
TW543114B (en) * 2001-03-29 2003-07-21 Lam Res Corp Diffuser and rapid cycle chamber
US20040266158A1 (en) * 2003-06-24 2004-12-30 Boyle James E. Plasma spraying for joining silicon parts

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5279670A (en) * 1990-03-31 1994-01-18 Tokyo Electron Sagami Limited Vertical type diffusion apparatus
JPH06132234A (ja) * 1992-10-20 1994-05-13 Kawasaki Steel Corp 半導体製造装置及び半導体装置の製造方法
US5417803A (en) * 1993-09-29 1995-05-23 Intel Corporation Method for making Si/SiC composite material
US5902103A (en) * 1995-12-29 1999-05-11 Kokusai Electric Co., Ltd. Vertical furnace of a semiconductor manufacturing apparatus and a boat cover thereof
JPH09306980A (ja) * 1996-05-17 1997-11-28 Asahi Glass Co Ltd 縦型ウエハボート
US6156121A (en) * 1996-12-19 2000-12-05 Tokyo Electron Limited Wafer boat and film formation method
JP3472482B2 (ja) * 1998-06-30 2003-12-02 富士通株式会社 半導体装置の製造方法と製造装置
US20020170487A1 (en) * 2001-05-18 2002-11-21 Raanan Zehavi Pre-coated silicon fixtures used in a high temperature process
JP4620288B2 (ja) * 2001-06-13 2011-01-26 東京エレクトロン株式会社 バッチ式熱処理装置
US7083694B2 (en) * 2003-04-23 2006-08-01 Integrated Materials, Inc. Adhesive of a silicon and silica composite particularly useful for joining silicon parts
JP4215592B2 (ja) * 2003-08-05 2009-01-28 東京エレクトロン株式会社 シリコンエピタキシャルウェーハの製造装置
US20060185589A1 (en) * 2005-02-23 2006-08-24 Raanan Zehavi Silicon gas injector and method of making

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW517092B (en) * 1999-03-17 2003-01-11 Kobe Steel Ltd High-temperature and high-pressure treatment device
US6194030B1 (en) * 1999-03-18 2001-02-27 International Business Machines Corporation Chemical vapor deposition velocity control apparatus
TW543114B (en) * 2001-03-29 2003-07-21 Lam Res Corp Diffuser and rapid cycle chamber
US6495473B2 (en) * 2001-05-14 2002-12-17 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
US20040266158A1 (en) * 2003-06-24 2004-12-30 Boyle James E. Plasma spraying for joining silicon parts

Also Published As

Publication number Publication date
US7736437B2 (en) 2010-06-15
US20070181066A1 (en) 2007-08-09
JP5119258B2 (ja) 2013-01-16
WO2008054692B1 (en) 2008-07-10
WO2008054692A1 (en) 2008-05-08
KR20090082444A (ko) 2009-07-30
KR101167664B1 (ko) 2012-07-20
JP2010508656A (ja) 2010-03-18
TW200830451A (en) 2008-07-16

Similar Documents

Publication Publication Date Title
TWI470717B (zh) 阻擋用的內襯遮蓋
KR100385818B1 (ko) 종형열처리장치
CN101437979B (zh) 具有扩散器板和注入器组件的批处理腔
TWI409911B (zh) 用於熱處理支撐塔的可分離邊緣環
TW552626B (en) Chemical vapor deposition reactor
US5493987A (en) Chemical vapor deposition reactor and method
JP3181490U (ja) 原子層堆積チャンバ及び構成部品
US20090280248A1 (en) Porous substrate holder with thinned portions
JPS61194179A (ja) 蒸着反応室を形成するためのハウジング
US20060249080A1 (en) Silicon shelf towers
TWI554660B (zh) 用於製造結晶矽錠的裝置(二)
KR100804358B1 (ko) 결정층 증착방법
JPH06247789A (ja) 単結晶引上げ装置用不活性ガス整流・吹付け装置
TWI548016B (zh) A substrate stage, a substrate processing apparatus, and a semiconductor device
KR20030055900A (ko) 단결정 잉곳의 제조장치
US6027569A (en) Gas injection systems for a LPCVD furnace
US6302963B1 (en) Bell jar having integral gas distribution channeling
TW201319336A (zh) 用於半導體材料之爐及方法
JPH0794435A (ja) 拡散装置
JP4515227B2 (ja) 気相成長装置
JPH07122512A (ja) 不純物拡散炉
JP2003077850A (ja) 基板処理装置
KR20060005822A (ko) 확산 공정용 반도체 제조 장치
JP2007180449A (ja) 基板処理装置
JPS63262469A (ja) ガス供給装置