TWI467656B - 半導體裝置之製造方法及基板處理裝置 - Google Patents

半導體裝置之製造方法及基板處理裝置 Download PDF

Info

Publication number
TWI467656B
TWI467656B TW100107366A TW100107366A TWI467656B TW I467656 B TWI467656 B TW I467656B TW 100107366 A TW100107366 A TW 100107366A TW 100107366 A TW100107366 A TW 100107366A TW I467656 B TWI467656 B TW I467656B
Authority
TW
Taiwan
Prior art keywords
gas
film
processing container
containing gas
processing
Prior art date
Application number
TW100107366A
Other languages
English (en)
Other versions
TW201142950A (en
Inventor
Naonori Akae
Kotaro Murakami
Yoshiro Hirose
Kenji Kameda
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Publication of TW201142950A publication Critical patent/TW201142950A/zh
Application granted granted Critical
Publication of TWI467656B publication Critical patent/TWI467656B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/347Carbon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

半導體裝置之製造方法及基板處理裝置
本發明係關於包含於基板上形成薄膜之步驟之半導體裝置之製造方法及基板處理裝置。
作為半導體裝置之製造步驟中之一個步驟,有於基板上形成氮化矽膜(SiN膜)等薄膜之薄膜形成步驟。薄膜形成步驟係藉由對搬入有基板之處理室內供給處理氣體而進行。薄膜形成步驟之目的係於基板表面形成薄膜,但實際上,有時也會於基板表面以外之部分例如,處理室之內壁形成薄膜。若沈積之膜厚較薄則有時會因施加之應力增大而產生斷裂,從而導致於處理室內產生異物(微粒)。於異物出現於基板上之情形時,導致半導體裝置之製造良率變差。
由此,每次於薄膜厚度達到固定厚度時,需將沈積之薄膜除去。近年來,偏向使用藉由向處理室內流入稱作清潔氣體之氣體而將薄膜除去之乾式清潔法。根據該方法,無須拆解處理室,可降低清潔工時及零件破損之危險性,又,可縮短至重新開始薄膜形成步驟為止之時間,從而可提高實施薄膜形成步驟之基板處理裝置之運轉率(例如參照專利文獻1)。
[先前技術文獻]
[專利文獻]
[專利文獻1]日本專利特開2009-231794號公報
上述之乾式清潔法中,藉由將因熱而活化之三氟化氮(NF3 )氣體、氟(F2 )氣體、三氟化氯(CIF3 )氣體等含氟(F)元素之清潔氣體供給至處理室內而將SiN膜等除去。處理室內之溫度越高則SiN膜之除去速度越快,但構成處理室內之各構件之石英(SiO2 )所受到之損害增大,有時會自石英構件產生異物。又,雖可藉由降低處理室內之溫度而減輕石英構件所受到之損害,但這樣會導致SiN膜之除去速度下降。如此,難以兼顧石英構件所受到之損害之降低與SiN膜之除去速度之增大。
本發明之目的在於提供一種於使用含氟元素之清潔氣體對處理室內進行清潔時,可兼顧石英構件所受到之損害之降低與SiN膜之除去速度增大的半導體裝置之製造方法及基板處理裝置。
根據本發明之一態樣而提供一種半導體裝置之製造方法,其包括如下步驟:對收納有基板之處理容器內供給處理氣體,而於上述基板上形成與氧化矽膜不同之薄膜;將用以形成上述薄膜之步驟實施既定次數之後,對上述處理容器內供給含氟氣體,而將附著於上述處理容器內包含上述薄膜之沈積物除去;及對除去上述沈積物後經加熱而處於未達大氣壓之壓力下之上述處理容器內,交替供給含矽氣體、含氧氣體及含氫氣體,藉此於上述處理容器內形成既定膜厚之氧化矽膜。
根據本發明之另一態樣而提供一種半導體裝置之製造方法,其包括如下步驟:對經加熱之處於未達大氣壓之壓力下之處理容器內交替供給含矽氣體與含氧氣體及含氫氣體,藉此於上述處理容器內形成既定膜厚之氧化矽膜;對收納有基板且形成上述氧化矽膜後之上述處理容器內供給處理氣體,而於上述基板上形成與上述氧化矽膜不同之薄膜;將形成上述薄膜之步驟實施既定次數之後,對上述處理容器內供給含氟氣體,而將附著於上述處理容器內且包含上述薄膜之沈積物除去;及對除去上述沈積物後經加熱而處於未達大氣壓之壓力下之上述處理容器內交替供給上述含矽氣體與上述含氧氣體及上述含氫氣體,藉此於上述處理容器內形成既定膜厚之氧化矽膜。
根據本發明之又一態樣而提供一種基板處理裝置,其包括:處理容器,其收納基板;加熱器,其對上述處理容器內進行加熱;壓力調整部,其對上述處理容器內之壓力進行調整;處理氣體供給系統,其對上述處理容器內供給處理氣體;含氟氣體供給系統,其對上述處理容器內供給含氟氣體;含矽氣體供給系統,其對上述處理容器內供給含矽氣體;含氧氣體供給系統,其對上述處理容器內供給含氧氣體;含氫氣體供給系統,其對上述處理容器內供給含氫氣體;及控制部,其控制上述加熱器、上述壓力調整部、上述處理氣體供給系統、上述含氟氣體供給系統、上述含矽氣體供給系統、上述含氧氣體供給系統及上述含氫氣體供給系統,以進行如下步驟:對收納有基板之上述處理容器內供給上述處理氣體,而於上述基板上形成與氧化矽膜不同之薄膜之步驟;將用以形成上述薄膜之步驟實施既定次數之後,對上述處理容器內供給上述含氟氣體,而將附著於上述處理容器內且包含上述薄膜之沈積物除去之步驟;及對除去上述沈積物後經加熱而處於未達大氣壓之壓力下之上述處理容器內交替供給上述含矽氣體與上述含氧氣體及上述含氫氣體,藉此於上述處理容器內形成既定膜厚之氧化矽膜之步驟。
根據本發明之半導體裝置之製造方法及基板處理裝置,於使用含氟元素之清潔氣體對處理室內進行清潔時,可兼顧石英構件所受到之損害之降低與SiN膜之除去速度之增大。
以下基於圖式對本發明之實施形態進行說明。
(1)基板處理裝置之構成
圖1係本實施形態中較佳地使用之基板處理裝置之立式處理爐之概略構成圖,且以縱剖面表示處理爐202部分,圖2係本實施形態中較佳地使用之立式處理爐之概略構成圖,且以圖1之A-A線剖面圖表示處理爐202部分。
如圖1所示,處理爐202具有作為加熱單元(加熱機構)之加熱器207。加熱器207為圓筒形狀,由作為保持板之加熱器基座(未圖示)支撐而垂直安裝。再者,加熱器207如後述般亦作為藉由熱而使氣體活化之活化機構發揮功能。
於加熱器207之內側,與加熱器207成同心圓狀地設置有構成反應容器(處理容器)之反應管203。反應管203由例如石英(SiO2 )或碳化矽(SiC)等耐熱性材料形成,形成為上端閉塞且下端開口之圓筒形狀。於反應管203之筒中空部形成處理室201,其構成為可於藉由後述之晶舟217而以水平姿勢沿垂直方向排列成多段之狀態下收納作為基板之晶圓200。
處理室201內以貫通反應管203下部之方式,設置有第1噴嘴249a、第2噴嘴249b、第3噴嘴249c、第4噴嘴249d。第1氣體供給管232a、第2氣體供給管232b、第3氣體供給管232c、第4氣體供給管232d,分別連接於第1噴嘴249a、第2噴嘴249b、第3噴嘴249c、第4噴嘴249d。如此,於反應管203中設置4個噴嘴249a、249b、249c、249d與4個氣體供給管232a、232b、232c、232d,從而構成為可對處理室201內供給多種氣體。
第1氣體供給管232a中,自上游方向起依序設置有作為流量控制器(流量控制部)之質量流量控制器(MFC,mass flow controller)241a、及作為開閉閥之閥門243a。又,於第1氣體供給管232a較閥門243a更靠下游側,連接有第5氣體供給管232i。該第5氣體供給管232i中,自上游方向起依序設置有作為流量控制器(流量控制部)之質量流量控制器241i、及作為開閉閥之閥門243i。又,於第1氣體供給管232a較與第5氣體供給管232i之連接部位更靠下游側,連接有第1惰性氣體供給管232e。該第1惰性氣體供給管232e中,自上游方向起依序設置有作為流量控制器(流量控制部)之質量流量控制器241e、及作為開閉閥之閥門243e。又,於第1氣體供給管232a之前端部連接有上述第1噴嘴249a。第1噴嘴249a以如下方式設置,即於反應管203之內壁與晶圓200之間之圓弧狀空間中,沿著自反應管203內壁之下部至上部朝晶圓200之積載方向上方立起。第1噴嘴249a形成為L字形之長噴嘴。於第1噴嘴249a之側面設置有供給氣體之氣體供給孔250a。氣體供給孔250a以朝向反應管203之中心之方式開口。該氣體供給孔250a自反應管203之下部至上部而設置有複數個,各氣體供給孔250a具有相同之開口面積,進而以相同之開口間距設置。主要由第1氣體供給管232a、質量流量控制器241a、閥門243a、第1噴嘴249a構成第1氣體供給系統。又,主要由第5氣體供給管232i、質量流量控制器241i、閥門243i構成第5氣體供給系統。
又,主要由第1惰性氣體供給管232e、質量流量控制器241e、閥門243e構成第1惰性氣體供給系統。
第2氣體供給管232b中,自上游方向起依序設置有作為流量控制器(流量控制部)之質量流量控制器(MFC)241b、及作為開閉閥之閥門243b。又,於第2氣體供給管232b較閥門243b更靠下游側,連接有第2惰性氣體供給管232f。該第2惰性氣體供給管232f中,自上游方向起依序設置有作為流量控制器(流量控制部)之質量流量控制器241f、及作為開閉閥之閥門243f。又,於第2氣體供給管232b之前端部連接有上述第2噴嘴249b。第2噴嘴249b以如下方式設置,即於反應管203之內壁與晶圓200之間之圓弧狀空間中,沿著自反應管203內壁之下部至上部朝向晶圓200之積載方向上方立起。第2噴嘴249b形成為L字形之長噴嘴。於第2噴嘴249b之側面設置有供給氣體之氣體供給孔250b。氣體供給孔250b以朝向反應管203之中心之方式開口。該氣體供給孔250b自反應管203之下部至上部設置有複數個,各氣體供給孔250b具有相同之開口面積,進而以相同之開口間距設置。主要由第2氣體供給管232b、質量流量控制器241b、閥門243b、第2噴嘴249b構成第2氣體供給系統。又,主要由第2惰性氣體供給管232f、質量流量控制器241f、閥門243f,構成第2惰性氣體供給系統。
第3氣體供給管232c中,自上游方向起依序設置有作為流量控制器(流量控制部)之質量流量控制器(MFC)241c、及作為開閉閥之閥門243c。又,於第3氣體供給管232c較閥門243c更靠下游側,連接有第3惰性氣體供給管232g。該第3惰性氣體供給管232g中,自上游方向起依序設置有作為流量控制器(流量控制部)之質量流量控制器241g、及作為開閉閥之閥門243g。又,於第3氣體供給管232c之前端部,連接有上述第3噴嘴249c。第3噴嘴249c以如下方式設置,即於反應管203之內壁與晶圓200之間之圓弧狀空間中,沿著自反應管203內壁之下部至上部朝向晶圓200之積載方向上方立起。第3噴嘴249c形成為L字形之長噴嘴。於第3噴嘴249c之側面設置有供給氣體之氣體供給孔250c。氣體供給孔250c以朝向反應管203之中心之方式開口。該氣體供給孔250c自反應管203之下部至上部設置有複數個,各氣體供給孔250c具有相同之開口面積,進而以相同之開口間距設置。主要由第3氣體供給管232c、質量流量控制器241c、閥門243c、第3噴嘴249c構成第3氣體供給系統。又,主要由第3惰性氣體供給管232g、質量流量控制器241g、閥門243g構成第3惰性氣體供給系統。
第4氣體供給管232d中,自上游方向起依序設置有作為流量控制器(流量控制部)之質量流量控制器(MFC)241d、及作為開閉閥之閥門243d。又,於第4氣體供給管232d較閥門243d更靠下游側,連接有第6氣體供給管232j。該第6氣體供給管232j中,自上游方向起依序設置有作為流量控制器(流量控制部)之質量流量控制器241j、及作為開閉閥之閥門243j。又,第4氣體供給管232d中較與第6氣體供給管232j之連接部位更靠下游側,連接有第4惰性氣體供給管232h。該第4惰性氣體供給管232h中,自上游方向起依序設置有作為流量控制器(流量控制部)之質量流量控制器241h、及作為開閉閥之閥門243h。又,於第4氣體供給管232d之前端部連接有上述第4噴嘴249d。第4噴嘴249d設置於作為氣體分散空間之緩衝室237內。
緩衝室237於反應管203之內壁與晶圓200之間之圓弧狀空間中,於自反應管203內壁之下部及於上部之部分沿著晶圓200之積載方向設置。緩衝室237與晶圓200鄰接之壁之端部設置有供給氣體之氣體供給孔250e。氣體供給孔250e以朝向反應管203之中心之方式開口。該氣體供給孔250e自反應管203之下部及於上部設置有複數個,各氣體供給孔250e具有相同之開口面積,進而以相同之開口間距設置。
第4噴嘴249d以如下方式設置,即於緩衝室237設置有氣體供給孔250e端部之相反側端部,沿著自反應管203內壁之下部至上部朝向晶圓200之積載方向上方立起。第4噴嘴249d形成為L字形之長噴嘴。於第4噴嘴249d之側面設置有供給氣體之氣體供給孔250d。氣體供給孔250d以朝向緩衝室237之中心之方式開口。該氣體供給孔250d係與緩衝室237之氣體供給孔250e相同地,自反應管203之下部及於上部設置有複數個。就該數個氣體供給孔250d各自之開口面積而言,於緩衝室237內與處理室201內之壓差較小之情形時,設自上游側(下部)至下游側(上部)各自之開口面積相同且開口間距相同即可,而於壓差較大之情形時,設自上游側朝向下游側各自之開口面積變大,或開口間距變小即可。
本實施形態中,自上游側向下游側如上述般調節第4噴嘴249d之各氣體供給孔250d之開口面積或開口間距,藉此,首先可自各氣體供給孔250d噴出雖有流速差但流量大致相同之氣體。並且,將自該各氣體供給孔250d噴出之氣體暫時導入至緩衝室237內,於緩衝室237內使氣體之流速差均一化。
即,自第4噴嘴249d之各氣體供給孔250d噴出至緩衝室237內之氣體,於緩衝室237內使各氣體之粒子速度變緩之後,自緩衝室237之氣體供給孔250e噴出至處理室201內。藉此,自第4噴嘴249d之各氣體供給孔250d噴出至緩衝室237內之氣體,於自緩衝室237之各氣體供給孔250e噴出至處理室201內時成為具有均一流量與流速之氣體。
主要由第4氣體供給管232d、質量流量控制器241d、閥門243d、第4噴嘴249d、緩衝室237構成第4氣體供給系統。又,主要由第6氣體供給管232j、質量流量控制器241j、閥門243j、第4噴嘴249d、緩衝室237構成第6氣體供給系統。又,主要由第4惰性氣體供給管232h、質量流量控制器241h、閥門243h構成第4惰性氣體供給系統。
例如矽原料氣體,即,含有矽(Si)之氣體(含矽氣體),自第1氣體供給管232a經由質量流量控制器241a、閥門243a、第1噴嘴249a供給至處理室201內。作為含矽氣體,可使用例如六氯二矽烷(Si2 Cl6 ,簡稱:HCD(Hexachlorodisilane))氣體。再者,於使用如HCD般常溫常壓下為液體狀態之液體原料之情形時,藉由氣化器或起泡器等氣化系統使液體原料氣化而作為原料氣體加以供給。
包含例如碳原子(C)即碳之氣體(含碳氣體),自第2氣體供給管232b經由質量流量控制器241b、閥門243b、第2噴嘴249b供給至處理室201內。作為含碳氣體,可使用例如丙烯(C3 H6 )氣體。
包含例如氮(N)之氣體(含氮氣體),自第3氣體供給管232c經由質量流量控制器241c、閥門243c、第3噴嘴249c供給至處理室201內。作為含氮氣體,可使用例如氨(NH3 )氣體。
包含例如氧(O)之氣體(含氧氣體),自第4氣體供給管232d經由質量流量控制器241d、閥門243d、第4噴嘴249d、緩衝室237供給至處理室201內。作為含氧氣體,可使用例如氧(O2 )氣體或氧化亞氮(N2 O)氣體。
作為清潔氣體之包含例如氟(F)之氣體(含氟氣體),自第5氣體供給管232i經由質量流量控制器241i、閥門243i、第1噴嘴249a供給至處理室201內。作為清潔氣體,可使用例如三氟化氮(NF3 )氣體、氟(F2 )氣體、三氟化氯(ClF3 )氣體、氟化氫(HF)氣體等。
包含例如氫(H)之氣體(含氫氣體),自第6氣體供給管232j經由質量流量控制器241j、閥門243j、第4噴嘴249d、緩衝室237供給至處理室201內。作為含氫氣體,可使用例如氫(H2 )氣體。
例如氮(N2 )氣體自惰性氣體供給管232e、232f、232g、232h分別經由質量流量控制器241e、241f、241g、241h、閥門243e、243f、243g、243h、氣體供給管232a、232b、232c、232d、氣體噴嘴249a、249b、249c、249d及緩衝室237,供給至處理室201內。
再者,於例如自各氣體供給管分別流過上述氣體之情形時,由第1氣體供給系統構成原料氣體供給系統,即,含矽氣體供給系統(矽烷系氣體供給系統)。又,由第2氣體供給系統構成含碳氣體供給系統。又,由第3氣體供給系統構成含氮氣體供給系統。又,由第4氣體供給系統構成含氧氣體供給系統。又,由第5氣體供給系統構成清潔氣體供給系統。又,由第6氣體供給系統構成含氫氣體供給系統。再者,亦將原料氣體供給系統簡單地稱作原料供給系統。又,於將含碳氣體、含氮氣體、含氧氣體及含氫氣體統稱為反應氣體之情形時,由含碳氣體供給系統、含氫氣體供給系統、含氮氣體供給系統及含氧氣體供給系統構成反應氣體供給系統。
如圖2所示,具有細長構造作為第1電極之第1棒狀電極269及作為第2電極之第2棒狀電極270,自反應管203之下部及於上部,沿著晶圓200之積層方向設置於緩衝室237內。第1棒狀電極269及第2棒狀電極270分別與第4噴嘴249d平行地設置。第1棒狀電極269及第2棒狀電極270分別由自上部及於下部保護各電極之保護管,即電極保護管275覆蓋而受到保護。該第1棒狀電極269或第2棒狀電極270任一者,係經由匹配器272連接於高頻電源273,且另一者連接於作為基準電位之接地。其結果,第1棒狀電極269與第2棒狀電極270之間之電漿生成區域224中生成電漿。主要由第1棒狀電極269、第2棒狀電極270、電極保護管275、匹配器272、高頻電源273,構成作為電漿產生器(電漿產生部)之電漿源。再者,電漿源如後述般作為藉由電漿而使氣體活化之活化機構發揮功能。
電極保護管275為可將第1棒狀電極269及第2棒狀電極270分別在與緩衝室237之氣體環境隔離之狀態下,插入至緩衝室237內之構造。於此,若電極保護管275之內部成為與外部氣體(大氣)相同之氣體環境,則分別插入至電極保護管275中之第1棒狀電極269及第2棒狀電極270,會因加熱器207之熱而被氧化。由此設置惰性氣體淨化機構,用以對電極保護管275內部填充氮等惰性氣體或進行淨化,將氧濃度抑制得充分低,而防止第1棒狀電極269或第2棒狀電極270氧化。
反應管203中設置有對處理室201內之氣體環境進行排氣之排氣管231。作為排氣成真空裝置之真空泵246經由用以檢測處理室201內之壓力之作為壓力檢測器(壓力檢測部)之壓力感測器245、及作為壓力調整器(壓力調整部)之APC(Auto Pressure Controller,自動壓力控制器)閥門244而連接於排氣管231,且構成為可排氣成真空以使處理室201內之壓力成為既定壓力(真空度)。再者,APC閥門244係可對閥門進行開閉而對處理室201內排氣成真空、及停止排氣成真空,進而可調節閥開度而進行壓力調整之開閉閥。主要由排氣管231、APC閥門244、真空泵246、壓力感測器245構成排氣系統。
於反應管203之下方設置有可氣密地閉塞反應管203之下端開口作為爐口蓋體之密封蓋219。密封蓋219自垂直方向下側抵接於反應管203之下端。密封蓋219由例如不鏽鋼等金屬形成,且形成為碟狀。於密封蓋219上面設置有作為與反應管203下端抵接之密封構件之O形環220。於密封蓋219之與處理室201相反之側,設置有使晶舟旋轉之旋轉機構267。旋轉機構267之旋轉軸255貫通密封蓋219並連接於後述之晶舟217,且構成為藉由使晶舟217旋轉而使晶圓200旋轉。密封蓋219構成為藉由垂直設置於反應管203外部之作為升降機構之晶舟升降機115而沿垂直方向升降,藉此可相對於處理室201內搬入搬出晶舟217。
作為基板支撐件之晶舟217由例如石英或碳化矽等耐熱性材料形成,且構成為將複數片晶圓200於水平姿勢且相互中心一致之狀態下排列而呈多段地加以支撐。再者於晶舟217之下部設置有由例如石英或碳化矽等耐熱性材料形成之隔熱構件218,且構成為來自加熱器207之熱量難以傳遞至密封蓋219側。再者,隔熱構件218亦可包含由石英或碳化矽等耐熱性材料形成之複數片隔熱板、與以水平姿勢呈多段地支撐該等隔熱板之隔熱板支架構成。
反應管203內設置有作為溫度檢測器之溫度感測器263,且構成為根據由溫度感測器263所檢測之溫度資訊而調整對加熱器207之通電情況,藉此使處理室201內之溫度成為所期望之溫度分佈。溫度感測器263係與噴嘴249a、249b、249c、249d相同地形成為L字形,且沿著反應管203內壁設置。
作為控制部(控制單元)之控制器121連接於質量流量控制器241a、241b、241c、241d、241e、241f、241g、241h、241i、241j、閥門243a、243b、243c、243d、243e、243f、243g、243h、243i、243j、壓力感測器245、APC閥門244、真空泵246、加熱器207、溫度感測器263、旋轉機構267、晶舟升降機115、高頻電源273、匹配器272等。藉由控制器121而進行質量流量控制器241a、241b、241c、241d、241e、241f、241g、241h、241i、241j之各種氣體之流量調整動作、閥門243a、243b、243c、243d、243e、243f、243g、243h、243i、243j之開閉動作、APc閥門244之開閉及壓力感測器245之壓力調整動作、溫度感測器263之加熱器207之溫度調整動作、真空泵246之啟動、停止、旋轉機構267之旋轉速度調節動作、晶舟升降機115之升降動作等之控制,及高頻電源273之電力供給控制、匹配器272之阻抗控制。
(2)成膜步驟
其次,以使用上述之基板處理裝置之處理爐進行半導體裝置(裝置)之製造步驟之一個步驟,於基板上成膜出作為絕緣膜之碳氮氧化矽膜(SiOCN膜)為例進行說明。再者,以下說明中,構成基板處理裝置之各部分之動作由控制器121控制。圖3係本實施形態之成膜步驟之氣體供給之時序圖。
本實施形態中,設如下步驟為1個循環而將該循環進行既定次數(1次以上),藉此於晶圓200上形成既定膜厚之碳氮氧化矽膜,該步驟係:於發生CVD(chemical vapor deposition,化學氣相沈積)反應之條件下對收納有晶圓200之處理容器內供給含矽氣體,藉此於晶圓200上形成含矽層之步驟;對處理容器內供給含破氣體,藉此於含矽層上形成含碳層而形成含矽及碳之層之步驟;對處理容器內供給含氮氣體,藉此使含矽及碳之層氮化而形成碳氮化矽層之步驟;及對處理容器內供給含氧氣體,藉此使碳氮化矽層氧化而形成碳氮氧化矽層之步驟。
以下,對本實施形態之基板處理步驟進行具體說明。再者,於此,以如下情況為例進行說明:將第1元素設為矽(Si),將第2元素設為碳(C),將第3元素設為氮(N),將第4元素設為氧(O),作為含第1元素氣體而使用含矽氣體即HCD(hexachlorodisilane,六氯二矽烷)氣體,作為含第2元素氣體而使用含碳氣體即C3 H6 氣體,作為含第3元素氣體而使用含氮氣體即NH3 氣體,作為含第4元素氣體而使用含氧氣體即O2 氣體,依照圖3之順序於晶圓200上形成碳氮氧化矽膜(SiOCN膜)作為絕緣膜。再者,該例中,由第1氣體供給系統構成含矽氣體供給系統(含第1元素氣體供給系統),由第2氣體供給系統構成含碳氣體供給系統(含第2元素氣體供給系統),由第3氣體供給系統構成含氮氣體供給系統(含第3元素氣體供給系統),由第4氣體供給系統構成含氧氣體供給系統(含第4元素氣體供給系統)。
當將複數片晶圓200裝填(晶圓裝入)於晶舟217時,如圖1所示,支撐複數片晶圓200之晶舟217係經由晶舟升降機115舉起並搬入(晶舟裝載)至處理室201內。該狀態下,密封蓋219成為經由O形環220而將反應管203之下端作成密封之狀態。
藉由真空泵246排氣成真空以使處理室201內成為所期望之壓力(真空度)。此時,處理室201內之壓力係由壓力感測器245進行測定,根據該測定之壓力資訊而反饋控制APC閥門244(壓力調整)。又,藉由加熱器207進行加熱以使處理室201內成為所期望之溫度。此時,根據溫度感測器263檢測之溫度資訊而反饋控制對加熱器207之通電情況(溫度調整),以使處理室201內成為所期望之溫度分佈。繼而,藉由旋轉機構267而使晶舟217旋轉,藉此使晶圓200旋轉(晶圓旋轉)。之後,依序執行如下4個步驟。
[步驟1]
打開第1氣體供給管232a之閥門243a,於第1氣體供給管232a內流過HCD氣體。流過第1氣體供給管232a內之HCD氣體之流量係由質量流量控制器241a進行調整。流量經調整之HCD氣體自第1噴嘴249a之氣體供給孔250a供給至處理室201內,並自排氣管231排氣。此時,同時打開閥門243e,於第1惰性氣體供給管232e內流過N2 氣體等惰性氣體。流過第1惰性氣體供給管232e內之N2 氣體係藉由質量流量控制器241e調整流量。流量經調整之N2 氣體與HCD氣體一起供給至處理室201內,並自排氣管231排氣。
此時,適當地調整APC閥門244而使處理室201內之壓力成為例如10~1000 Pa範圍內之壓力。由質量流量控制器241a控制之HCD氣體之供給流量設為例如10~1000 sccm範圍內之流量。由質量流量控制器241e控制之N2 氣體之供給流量設為例如200~2000 sccm範圍內之流量。將晶圓200暴露於HCD氣體中之時間,即,氣體供給時間(照射時間)設為例如1~120秒鐘範圍內之時間。此時加熱器207之溫度設定為如下溫度,即於處理室201內發生CVD反應之程度之溫度,即,晶圓200之溫度成為例如300~650℃範圍內之溫度。再者,若晶圓200之溫度未達300℃則HCD難以吸附於晶圓200上。又,若晶圓200之溫度超過650℃則CVD反應變得遽烈,從而易導致均一性變差。由此,較佳為設晶圓200之溫度為300~650℃範圍內之溫度。
藉由供給HCD氣體而於晶圓200表面之基底膜上形成包含作為第1元素之矽之第1層。即,於晶圓200上(基底膜上)形成未達1原子層至數原子層之作為含矽層之矽層(Si層)。含矽層亦可為HCD之化學吸附層。再者,矽係單獨成為固體之元素。於此,矽層除包含由矽構成之連續層之外,亦包含不連續層或該些層重疊而成之薄膜。再者,亦有時將由矽構成之連續層稱作薄膜。又,HCD之化學吸附層除包含HCD分子之連續化學吸附層之外,亦包含不連續化學吸附層。再者,未達1原子層之層係指不連續地形成之原子層。若形成於晶圓200上之含矽層之厚度超過數原子層,則後述步驟3之氮化作用無法到達全體含矽層。又,可形成於晶圓200上之含矽層之最小值未達1原子層。由此,較佳為含矽層之厚度設為未達1原子層至數原子層。再者,於HCD氣體自分解之條件下,藉由於晶圓200上沈積矽而形成矽層,而於HCD氣體不自分解之條件下,藉由於晶圓200上化學吸附HCD而形成HCD之化學吸附層。再者,相較於晶圓200上形成HCD之化學吸附層,較佳為於晶圓200上形成矽層,這樣可提高成膜率。
於形成含矽層之後,關閉閥門243a而停止HCD氣體之供給。此時,排氣管231之APC閥門244保持打開狀態,藉由真空泵246而對處理室201內排氣成真空,將殘留於處理室201內未反應,或者,幫助形成含矽層之後之HCD氣體自處理室201內排除。再者,此時閥門243e保持打開狀態,維持向處理室201內供給N2 氣體。藉此,可提高將殘留於處理室201內未反應,或者,幫助形成含矽層之後之HCD氣體自處理室201內排除之效果。
作為含矽氣體,除HCD氣體之外,不僅可使用四氯矽甲烷(SiCl4 ,簡稱:STC)氣體、三氯矽烷(SiHCl3 ,簡稱:TCS)氣體、二氯矽烷(SiH2 Cl2 ,簡稱:DCS)氣體、一氯矽烷(SiH3 Cl,簡稱:MCS)氣體、單矽烷(SiH4 )氣體等無機原料,亦可使用胺基矽烷系之四-二甲胺基矽烷(Si[N(CH3 )2 ]4 ,簡稱:4DMAS)氣體、三-二甲胺基矽烷(Si[N(CH3 )2 ]3 H,簡稱:3DMAS)氣體、雙二乙胺基矽烷(Si[N(C2 H5 )2 ]2 H2 ,簡稱:2DEAS)氣體、雙第三丁基胺基矽烷(SiH2 [NH(C4 H9 )]2 ,簡稱:BTBAS)氣體等有機原料。作為惰性氣體,除N2 氣體之外,亦可使用Ar氣體、He氣體、Ne氣體、Xe氣體等稀有氣體。
[步驟2]
步驟1結束並將處理室201內之殘留氣體除去之後,打開第2氣體供給管232b之閥門243b,於第2氣體供給管232b內流過C3 H6 氣體。流過第2氣體供給管232b內之C3 H6 氣體,係藉由質量流量控制器241b而進行調整流量。流量經調整之C3 H6 氣體自第2噴嘴249b之氣體供給孔250b供給至處理室201內,並自排氣管231排氣。再者,供給至處理室201內之C3 H6 氣體因熱而活化。此時,同時打開閥門243f,於惰性氣體供給管232f內流過N2 氣體。N2 氣體與C3 H6 氣體一起供給至處理室201內,並自排氣管231排氣。
此時適當地調整APC閥門244而使處理室201內之壓力為例如50~3000 Pa範圍內之壓力。由質量流量控制器241b控制之C3 H6 氣體之供給流量,設為例如100~10000 sccm範圍內之流量。由質量流量控制器241f控制之N2 氣體之供給流量,設為例如200~2000 sccm範圍內之流量。此時,處理室201內之C3 H6 氣體之分壓設為6~2940 Pa範圍內之壓力。將晶圓200暴露於C3 H6 氣體中之時間,即,氣體供給時間(照射時間)設為例如1~120秒鐘範圍內之時間。此時之加熱器207之溫度與步驟1相同地設為如下溫度,即,使晶圓200之溫度成為300~650℃範圍內之溫度。再者,C3 H6 氣體因熱而活化後加以供給則可產生溫和之反應,從而可容易地形成後述之含碳層。
此時,流過處理室201內之氣體係會熱活化之C3 H6 氣體,處理室201內未流過HCD氣體。因此,C3 H6 氣體不會弓1起氣相反應,而於活化之狀態下供給至晶圓200,此時,步驟1中形成於晶圓200上之含矽層上形成有未達1原子層之含碳層,即,不連續之含碳層。藉此形成含矽(第1元素)及碳(第2元素)之第2層。再者,根據條件,亦有時會出現含矽層之一部分與C3 H6 氣體反應,使含矽層改質(碳化)而形成含矽及碳之第2層。
形成於含矽層上之含碳層可為碳層(C層),亦可為C3 H6 之化學吸附層,即Cx Hy (C3 H6 分解物質)之化學吸附層。於此,需要設碳層為由碳構成之不連續層。又,需要設Cx Hy 之化學吸附層為Cx Hy 分子之不連續化學吸附層。再者,於設形成於含矽層上之含碳層為連續層之情形時,例如於設Cx Hy 向含矽層上之吸附狀態為飽和狀態,且含矽層上形成有Cx Hy 之連續化學吸附層之情形時,含矽層之整個表面被Cx Hy 之化學吸附層覆蓋。該情形時,第2層之表面上不存在矽,後述之步驟3中之第2層之氮化反應變得困難。雖然氮與矽鍵結,但不與碳鍵結。為於後述之步驟3中產生所期望之氮化反應,需要設Cx Hy 向含矽層上之吸附狀態為不飽和狀態而於第2層之表面露出有矽之狀態。
再者,為使Cx Hy 向含矽層上之吸附狀態為不飽和狀態,將步驟2之處理條件設為上述處理條件即可,進而將步驟2之處理條件設為下一處理條件,藉此容易使Cx Hy 向含矽層上之吸附狀態為不飽和狀態。
晶圓溫度:500~630℃
處理室內壓力:133~2666 Pa
C3 H6 氣體分壓:67~2820 Pa
C3 H6 氣體供給流量:1000~5000 sccm
N2 氣體供給流量:300~1000 sccm
C3 H6 氣體供給時間:6~100秒
之後,關閉第2氣體供給管232b之閥門243b而停止C3 H6 氣體之供給。此時,排氣管231之APC閥門244保持打開狀態,藉由真空泵246而對處理室201內排氣成真空,將殘留於處理室201內未反應或者幫助形成含碳層之後之C3 H6 氣體自處理室201內排除。再者,此時閥門243f保持打開狀態,維持向處理室201內供給N2 氣體。藉此,可提高將殘留於處理室201內未反應或者幫助形成含碳層之後之C3 H6 氣體自處理室201內排除之效果。
作為含碳氣體,除C3 H6 氣體以外,亦可使用乙炔(C2 H2 )氣體或乙烯(C2 H4 )氣體等。
[步驟3]
於將處理室201內殘留氣體除去之後,打開第3氣體供給管232c之閥門243c,於第3氣體供給管232c內流過NH3 氣體。流過第3氣體供給管232c內之NH3 氣體係由質量流量控制器241c進行調整流量。流量經調整之NH3 氣體自第3噴嘴249c之氣體供給孔250c供給至處理室201內,並自排氣管231排氣。再者,供給至處理室201內之NH3 氣體因熱而活化。此時,同時打開閥門243g而於惰性氣體供給管232g內流過N2 氣體。N2 氣體與NH3 氣體一起供給至處理室201內,並自排氣管231排氣。
於使NH3 氣體因熱而活化並流動時,適當地調整APC閥門244,而使處理室201內之壓力為例如50~3000 Pa範圍內之壓力。由質量流量控制器241c控制之NH3 氣體之供給流量,設為例如100~10000 sccm範圍內之流量。由質量流量控制器241g控制之N2 氣體之供給流量,設為例如200~2000 sccm範圍內之流量。此時,處理室201內之NH3 氣體之分壓設為6~2940 Pa範圍內之壓力。將晶圓200暴露於NH3 氣體中之時間,即,氣體供給時間(照射時間)設為例如1~120秒鐘範圍內之時間。此時之加熱器207之溫度與步驟1相同地設為如下溫度,即,使晶圓200之溫度為300~650℃範圍內之溫度。NH3 氣體之反應溫度較高,難以於上述之晶圓溫度反應,因此藉由將處理室201內之壓力設為上述之比較高之壓力而可進行熱活化。再者,NH3 氣體因熱而活化後加以供給則會產生溫和之反應,從而可溫和地進行後述之氮化。
此時,流過處理室201內之氣體為熱活化之NH3 氣體,處理室201內亦不流過HCD氣體及C3 H6 氣體。因此,NH3 氣體不會產生氣相反應,經活化之NH3 氣體與步驟2中形成於晶圓200上之作為第2層之含矽及碳層之一部分反應。藉此第2層於無電漿下熱氮化而變化(改質)為含矽(第1元素)、碳(第2元素)及氮(第3元素)之第3層,即,碳氮化矽層(SiCN層)。
此時,第2層之氮化反應設定為不飽和。例如於步驟1中形成數原子層之矽層,且步驟2中形成有未達1原子層之含碳層之情形時,使該第2層表面層(表面之1原子層)之一部分氮化。即,使該第2層之表面層中可發生氮化之區域(露出有矽之區域)之一部分或者全部氮化。該情形時,於第2層之氮化反應不飽和之條件下進行氮化,而不使第2層全體氮化。再者,亦可根據條件而使自第2層之表面層向下之數層氮化,但較佳為僅使該第2層之表面層氮化,這樣可提高碳氮氧化矽膜之組成比之控制性。又,例如於步驟1中形成1原子層或未達1原子層之矽層,且步驟2中形成有未達1原子層之含碳層之情形時,亦相同地使該第2層之表面層之一部分氮化。該情形時,於第2層之氮化反應不飽和之條件下進行氮化,而不使第2層全體氮化。
再者,為將第2層之氮化反應設定為不飽和,將步驟3之處理條件設為上述處理條件即可,但藉由進而將步驟3之處理條件設為下一處理條件,而可容易地使第2層之氮化反應不飽和。
晶圓溫度:500~630℃
處理室內壓力:133~2666 Pa
NH3 氣體分壓:67~2820 Pa
NH3 氣體供給流量:1000~5000 sccm
N2 氣體供給流量:300~1000 sccm
NH3 氣體供給時間:6~100秒
之後,關閉第3氣體供給管232c之閥門243c而停止NH3 氣體之供給。此時,排氣管231之APC閥門244保持打開狀態,藉由真空泵246而對處理室201內排氣成真空,將殘留於處理室201內未反應或者幫助氮化之後之NH3 氣體自處理室201內排除。再者,此時閥門243g保持打開狀態,維持對處理室201內供給N2 氣體。藉此,可提高將殘留於處理室201內未反應或者幫助氮化之後之NH3 氣體自處理室201內排除之效果。
作為含氮氣體,除NH3 氣體以外,亦可使用二嗪(N2 H2 )氣體、肼(N2 H4 )氣體、N3 H8 氣體等。
[步驟4]
將處理室201內之殘留氣體除去之後,打開第4氣體供給管232d之閥門243d、及第4惰性氣體供給管232h之閥門243h,於第4氣體供給管232d內流過O2 氣體,且於第4惰性氣體供給管232h內流過N2 氣體。流過第4惰性氣體供給管232h內之N2 氣體係由質量流量控制器241h調整流量。流過第4氣體供給管232d內之O2 氣體係由質量流量控制器241d調整流量。流量經調整之O2 氣體與流量經調整之N2 氣體於第4氣體供給管232d內混合後,自第4噴嘴249d之氣體供給孔250d供給至緩衝室237內。此時,未對第1棒狀電極269與第2棒狀電極270之間施加高頻電力。藉此,供給至緩衝室237內之O2 氣體因熱而活化後自氣體供給孔250e供給至處理室201內,並自排氣管231排氣。再者,此時亦可對第1棒狀電極269與第2棒狀電極270之間施加高頻電力,使供給至緩衝室237內之O2 氣體因電漿而活化。
於使O2 氣體因熱而活化並流動時,適當地調整APC閥門244,而使處理室201內之壓力為例如1~3000 Pa範圍內之壓力。由質量流量控制器241d控制之O2 氣體之供給流量,設為例如100~5000 sccm(0.1~5 slm)範圍內之流量。由質量流量控制器241h控制之N2 氣體之供給流量,設為例如200~2000 sccm範圍內之流量。此時,處理室201內之O2 氣體之分壓設為6~2940 Pa範圍內之壓力。將晶圓200暴露於O2 氣體中之時間,即,氣體供給時間(照射時間)設為例如1~120秒鐘範圍內之時間。此時之加熱器207之溫度設為如下溫度,即與步驟1~3相同地使晶圓200之溫度為300~650℃範圍內之溫度。O2 氣體於上述條件下熱活化。再者,使O2 氣體因熱而活化後加以供給則可產生溫和之反應,從而可溫和地進行後述之氧化。
此時,流過處理室201內之氣體為熱活化之O2 氣體,處理室201內亦未流過HCD氣體、C3 H6 氣體、NH3 氣體。因此,O2 氣體不產生氣相反應,經活化之O2 氣體於步驟3中形成於晶圓200上之作為第3層之SiCN層之至少一部分反應。藉此SiCN層於無電漿下熱氧化而改變成(改質)為含矽(第1元素)、碳(第2元素)、氮(第3元素)及氧(第4元素)之第4層,即,改變成為碳氮氧化矽層(SiOCN層)。
此時,SiCN層之氧化反應設定為不飽和。例如於步驟1~3中形成有數原子層之SiCN層之情形時,使該SiCN層之表面層(表面之1原子層)之至少一部分氧化。該情形時,於SiCN層之氧化反應不飽和之條件下進行氧化,以不使SiCN層全體氧化。再者,亦可根據條件而使自SiCN層之表面層向下之數層氧化,但較佳為僅使該SiCN層之表面層氧化,這樣可提高SiOCN膜之組成比之控制性。又,例如步驟1~3中形成有1原子層或未達1原子層之SiCN層之情形時,亦相同地使該SiCN層之表面層之一部分氧化。該情形時,於SiCN層之氧化反應不飽和之條件下進行氧化,以不使SiCN層全體氧化。
再者,為使SiCN層(第3層)之氧化反應不飽和,將步驟4之處理條件設為上述處理條件即可,但藉由進而將步驟4之處理條件設為下一處理條件,而可容易地使SiCN層之氧化反應不飽和。
晶圓溫度:500~630℃
處理室內壓力:133~2666 Pa
O2 氣體分壓:67~2820 Pa
O2 氣體供給流量:1000~5000 sccm
N2 氣體供給流量:300~1000 sccm
O2 氣體供給時間:6~100秒
之後,關閉第4氣體供給管232d之閥門243d而停止O2 氣體之供給。此時,排氣管231之APC閥門244保持打開狀態,藉由真空泵246而對處理室201內排氣成真空,將殘留於處理室201內未反應或者幫助氧化之後之O2 氣體自處理室201內排除。此時閥門243h保持打開狀態,維持對處理室201內供給N2 氣體。藉此,可提高將殘留於處理室201內未反應或者幫助氧化之後之O2 氣體自處理室201內排除之效果。
作為含氧氣體,除O2 氣體以外,亦可使用水蒸汽(H2 O)氣體、一氧化氮(NO)氣體、氧化亞氮(N2 O)氣體、二氧化氮(NO2 )氣體、一氧化碳(CO)氣體、二氧化碳(CO2 )氣體、臭氧(O3 )氣體、H2 氣體+O2 氣體、H2 氣體+O3 氣體等。
將上述之步驟1~4設為1個循環,將該循環進行既定次數,藉此可於晶圓200上成膜出既定膜厚之含矽(第1元素)、碳(第2元素)、氮(第3元素)及氧(第4元素)之薄膜,即,碳氮氧化矽膜(SiOCN膜)。再者,較佳為上述循環實施數次。
若完成形成既定膜厚之碳氮氧化矽膜之成膜處理,則對處理室201內供給N2 等惰性氣體並進行排氣,藉此以惰性氣體對處理室201內進行淨化(氣體淨化)。之後,將處理室201內之氣體環境置換為惰性氣體(惰性氣體置換),使處理室201內之壓力恢復至常壓(恢復至大氣壓)。
之後,藉由晶舟升降機115而使密封蓋219下降,反應管203之下端開口,並且完成處理之晶圓200於由晶舟217支撐之狀態下,自反應管203之下端搬出至反應管203之外部(卸載晶舟)。之後,自晶舟217取出完成處理之晶圓200(卸下晶圓)。
再者,上述之基板處理步驟中,將步驟1~3設為1個循環,並將該循環進行既定次數,藉此亦可於晶圓200上成膜出既定膜厚之含矽(第1元素)、碳(第2元素)及氮(第3元素)之薄膜,即,碳氮化矽膜(SiCN膜)。該情形時,較佳為上述之循環亦實施複數次。又,亦可將步驟1及步驟3設為1個循環,並將該循環進行既定次數,藉此於晶圓200上成膜出既定膜厚之含矽(第1元素)及氮(第3元素)之薄膜,即,氮化矽膜(SiN膜)。該情形時,較佳為上述循環亦實施複數次。
(3)清潔步驟
其次,說明對處理室201內進行淨化之方法。再者,以下說明中,構成基板處理裝置之各部分之動作由控制器121控制。
若反覆進行上述之成膜步驟,則於反應管203內壁等處理室201內亦會累積SiOCN、SiCN或SiN等之薄膜。即,包含該薄膜之沈積物附著於其內壁等。於附著於其內壁等之沈積物(累積薄膜)之厚度達到沈積物產生剝離、落下之前之既定厚度的時間點,進行處理室201內之清潔。藉由對加熱至既定溫度之處理室201內,單獨供給作為清潔氣體之F2 氣體或者經惰性氣體稀釋後之F2 氣體,將沈積(累積)於處理室201內之薄膜除去而進行清潔。以下,具體說明清潔步驟。
空晶舟217,即,未裝填晶圓200之晶舟217是藉由晶舟升降機115舉起並搬入至處理室201內(裝載晶舟)。該狀態下,密封蓋219成為經由O形環220密封反應管203下端之狀態。
藉由真空泵246排氣成真空以使處理室201內成為所期望之壓力(真空度)。此時,處理室201內之壓力由壓力感測器245測定,根據該測定之壓力資訊而反饋控制APC閥門244。又,藉由加熱器207進行加熱以使處理室201內成為所期望之溫度。此時,根據溫度感測器263檢測出之溫度資訊而反饋控制對加熱器207之通電情況,以使處理室201內之溫度成為所期望之溫度分佈。處理室201內之壓力、溫度分別到達既定壓力、既定溫度後,進行控制以維持該壓力、溫度。進而,藉由旋轉機構267而使晶舟217旋轉。再者,晶舟217亦可不旋轉。
其次,於處理室201內之溫度、壓力分別維持於既定溫度、既定壓力之狀態下,打開第5氣體供給管232i之閥門243i,於第5氣體供給管232i內流過F2 氣體。流過第5氣體供給管232i內之F2 氣體係藉由質量流量控制器241i進行調整流量。流量經調整之F2 氣體流過第1氣體供給管232a內,自第1噴嘴249a之氣體供給孔250a供給至處理室201內,並自排氣管231排氣。
此時,亦可同時打開閥門243e,於第1惰性氣體供給管232e內流過N2 氣體等惰性氣體,對作為清潔氣體之F2 氣體進行稀釋。流過第1惰性氣體供給管232e內之N2 氣體係藉由質量流量控制器241e調整流量。流量經調整之N2 氣體與清潔氣體一起供給至處理室201內,並自排氣管231排氣。亦可藉由控制N2 氣體之供給流量而控制F2 氣體之濃度。
導入至處理室201內之F2 氣體或經稀釋之F2 氣體,係於通過處理室201內時與累積於反應管203內壁或晶舟217表面之SiOCN、SiCN或SiN等包含薄膜之沈積物接觸,此時藉由熱化學反應而除去薄膜。即,藉由因F2 氣體之熱解所產生之活性種與沈積物之蝕刻反應而除去薄膜。再者,此時F2 氣體或經稀釋之F2 氣體,係於通過第1噴嘴249a內時亦與累積於第1噴嘴249a內壁之含Si沈積物接觸,此時該含Si沈積物亦被除去。
當經過預先設定之薄膜之蝕刻時間而結束處理室201內之清潔時,關閉閥門243i,由此停止對處理室201內供給F2 氣體或經稀釋之F2 氣體。之後,對處理室201內供給N2 氣體,自排氣管231進行排氣,由此對處理室201內進行淨化。
再者,作為清潔步驟中之薄膜之蝕刻條件而例示如下:處理室內之溫度:350℃~500℃,處理室內之壓力:6650 Pa(50 Torr)~26600 Pa(200 Torr),較佳為13300 Pa(100 Torr)以上至19950 Pa(150 Torr),F2 氣體供給流量:0.5~5 slm,N2 氣體供給流量:1~20 slm,將各蝕刻條件固定維持於各範圍內之某一值而完成薄膜之蝕刻。
(4)於處理容器內形成氧化矽膜之步驟
上述之清潔步驟結束後,於將未裝填晶圓200之空晶舟217搬入至處理室201內(裝載晶舟)之狀態下,實施於處理容器內,即,反應管203內壁等處理室201內形成氧化矽膜(SiO膜)之步驟。
對真空泵246排氣成真空以使處理室201內成為所期望之壓力(真空度)。此時,處理室201內之壓力由壓力感測器245測定,根據該測定之壓力資訊而反饋控制APC閥門244(壓力調整)。又,藉由加熱器207進行加熱以使處理室201內成為所期望之溫度。此時,根據溫度感測器263檢測出之溫度資訊而反饋控制向加熱器207之通電情況(溫度調整)以使處理室201內成為所期望之溫度分佈。繼而,藉由旋轉機構267而使晶舟217旋轉。之後,依序執行後述之4個步驟。
[步驟1]
打開第1氣體供給管232a之閥門243a,於第1氣體供給管232a內流過HCD氣體。流過第1氣體供給管232a內之HCD氣體係藉由質量流量控制器241a調整流量。流量經調整之HCD氣體自第1噴嘴249a之氣體供給孔250a供給至處理室201內,並自排氣管231排氣。此時,同時打開閥門243e,於第1惰性氣體供給管232e內流過N2 氣體等惰性氣體。流過第1惰性氣體供給管232e內之N2 氣體係藉由質量流量控制器241e調整流量。流量經調整之N2 氣體與HCD氣體一起供給至處理室201內,並自排氣管231排氣。
此時,適當地調整APC閥門244,將處理室201內之壓力維持於未達大氣壓例如10~1000 Pa範圍內之壓力。由質量流量控制器241a控制之HCD氣體之供給流量,設為例如10~1000 sccm範圍內之流量。由質量流量控制器241e控制之N2 氣體之供給流量,設為例如200~2000 sccm範圍內之流量。將處理室201內暴露於HCD氣體中之時間,設為例如1~120秒鐘範圍內之時間。加熱器207之溫度設定為如下溫度,即,於處理室201內產生CVD反應之溫度。即,設定加熱器207之溫度以使處理室201內之溫度為例如300~700℃,較佳為350~650℃範圍內之溫度。再者,若處理室201內之溫度未達300℃則難以於處理室201內壁等上吸附HCD。又,若處理室201內之溫度超過650℃,尤其超過700℃則CVD反應變得遽烈而容易使均一性變差。由此,較佳為處理室201內之溫度為300~700℃。
於上述條件下將HCD氣體供給至處理室201內,藉此會於反應管203之內壁、晶舟217之表面、第1噴嘴249a、第2噴嘴249b、第3噴嘴249c、第4噴嘴249d之表面及第1噴嘴249a之內壁面上(以下,簡單地稱作處理室201內壁等),形成未達1原子層至數原子層之作為含矽層之矽層(Si層)。含矽層亦可為HCD之化學吸附層。再者,於HCD氣體不會自分解之條件下,於處理室201內壁等HCD會表面吸附而形成HCD之化學吸附層。於HCD氣體自分解之條件下,於處理室201內壁等沈積矽分子而形成矽層。若形成於處理室201內壁等之含矽層之厚度超過數原子層,則後述之步驟3之氧化作用無法到達全體含矽層。又,可形成於處理室201內壁等之含矽層之最小值未達1原子層。由此,較佳為含矽層之厚度為未達1原子層至數原子層。
作為包含Si之原料,除HCD之外,不僅可使用STC、TCS、DCS、MCS、SiH4 等無機原料,亦可使用胺基矽烷系之4DMAS、3DMAS、2DEAS、BTBAS等有機原料。作為惰性氣體,除N2 氣體之外,亦可使用Ar、He、Ne、Xe等稀有氣體。
[步驟2]
於處理室201內壁等形成含矽層之後,關閉第1氣體供給管232a之閥門243a而停止HCD氣體之供給。此時,排氣管231之APC閥門244保持打開狀態,藉由真空泵246而對處理室201內排氣成真空,將殘留之HCD自氣體處理室201內排除。此時,若將惰性氣體供給至處理室201內,則可進一步提高排除殘留之HCD氣體之效果。此時之加熱器207之溫度設定為如下溫度,即,使處理室201內之溫度與供給HCD氣體時相同為300~700℃,較佳為350~650℃範圍內之溫度。
[步驟3]
將處理室201內之殘留氣體除去之後,打開第4氣體供給管232d之閥門243d、第4惰性氣體供給管232h之閥門243h,於第4氣體供給管232d內流過O2 氣體,且於第4惰性氣體供給管232h內流過N2 氣體。流過第4惰性氣體供給管232h內之N2 氣體係藉由質量流量控制器241h調整流量。流過第4氣體供給管232d內之O2 氣體係藉由質量流量控制器241d調整流量。流量經調整之O2 氣體與流量經調整之N2 氣體於第4氣體供給管232d內混合後,自第4噴嘴249d之氣體供給孔250d供給至緩衝室237內。
此時,同時打開第6氣體供給管232j之閥門243j,於第6氣體供給管232j內流過H2 氣體。流過第6氣體供給管232j內之H2 氣體係藉由質量流量控制器241j調整流量。流量經調整之H2 氣體與流量經調整之O2 氣體及N2 氣體於第4氣體供給管232d內混合後,自第4噴嘴249d之氣體供給孔250d供給至緩衝室237內。
此時,未對第1棒狀電極269與第2棒狀電極270之間施加高頻電力。藉此,供給至緩衝室237內之O2 氣體與H2 氣體之混合氣體因熱而活化後,自氣體供給孔250e供給至處理室201內,並自排氣管231排氣。再者,此時亦可對第1棒狀電極269與第2棒狀電極270之間施加高頻電力,使供給至緩衝室237內之O2 氣體與H2 氣體之混合氣體因電漿而活化。
此時,適當地調整APC閥門244,將處理室201內之壓力維持於未達大氣壓例如1~1000 Pa範圍內之壓力。由質量流量控制器241d控制之O2 氣體之供給流量,設為例如100~10000 sccm範圍內之流量。由質量流量控制器241j控制之H2 氣體之供給流量,設為例如100~10000 sccm範圍內之流量。由質量流量控制器241h控制之N2 氣體之供給流量,設為例如200~2000 sccm範圍內之流量。再者,將處理室201內壁等暴露於O2 氣體及H2 氣體中之時間,設為例如1~120秒鐘範圍內之時間。加熱器207之溫度設定為如下溫度,即,使晶圓200之溫度為例如350~1000℃範圍內之溫度。
再者,確認出若為該範圍內之溫度,則可獲得減壓氣體環境下向O2 氣體添加H2 氣體所帶來之氧化力提高之效果。又,確認出若處理室201內之溫度過低則無法獲得氧化力提高之效果。
但考慮到產量時,較佳為以使處理室201內之溫度為可獲得氧化力提高之效果之溫度且為與步驟1之供給HCD時相同之溫度帶,即步驟1與步驟3中將處理室201內之溫度保持於相同溫度帶之方式設定加熱器207之溫度。該情形時,以如下方式設定加熱器207之溫度,即於步驟1與步驟3中使處理室201內之溫度為350~700℃,較佳為350~650℃範圍內之固定溫度。
進而,更佳為以於步驟1至步驟4(後述)中將處理室201內之溫度保持於相同溫度帶之方式設定加熱器207之溫度。該情形時,以於步驟1至步驟4(後述)中使處理室201內之溫度為350~700℃,較佳為350~650℃範圍內之固定溫度之方式設定加熱器207之溫度。再者,為獲得減壓氣體環境下向O2 氣體添加H2 氣體所帶來之氧化力提高之效果,需要使處理室201內之溫度為350℃以上,但處理室201內之溫度較佳為400℃以上,更佳為450℃以上。若設處理室201內之溫度為400℃以上,則可獲得超過以400℃以上之溫度進行之O3 氧化處理之氧化力之氧化力,若設處理室201內之溫度為450℃以上,則可獲得超過以450℃以上之溫度進行之O2 電漿氧化處理之氧化力之氧化力。
於上述條件下將O2 氣體及H2 氣體供給至處理室201內,藉此O2 氣體及H2 氣體於經加熱之減壓氣體環境下,於無電漿下活化而反應,由此生成包含原子狀氧(O)等氧之氧化種(反應種)。並且,主要藉由該氧化種對步驟1中形成於處理室201內壁等之含矽層進行氧化處理。而且,藉由該氧化處理而使含矽層變化(改質)為氧化矽層(SiO2 層,以下亦簡單地稱作SiO層)。
作為含氧氣體,除氧(O2 )氣體之外,亦可使用臭氧(O3 )氣體等。再者,當嘗試於上述溫度帶下,向一氧化氮(NO)氣體或氧化亞氮(N2 O)氣體添加含氫氣體之效果時,確認出與NO氣體單獨供給或N2 O氣體單獨供給相比無法獲得氧化力提高之效果。即,作為含氧氣體而較佳為使用不含氮之含氧氣體(不含氮而含氧之氣體)。作為含氫氣體,除氫(H2 )氣體之外,亦可使用重氫(D2 )氣體等。再者,若使用氨(NH3 )氣體或甲烷(CH4 )氣體等,則需考慮氮(N)雜質或碳(C)雜質混入膜中。即,作為含氫氣體,較佳為使用不含其他元素之含氫氣體(不含其他元素而含氫或重氫之氣體)。即,作為含氧氣體,可使用自O2 氣體及O3 氣體所組成之群中選擇之至少一種氣體,作為含氫氣體,可使用自H2 氣體及D2 氣體所組成之群中選擇之至少一種氣體。
[步驟4]
使含矽層變化為氧化矽層之後,關閉第4氣體供給管232d之閥門243d而停止O2 氣體之供給。又,關閉第6氣體供給管232j之閥門243j而停止H2 氣體之供給。此時,排氣管231之APC閥門244保持打開狀態,藉由真空泵246而對處理室201內排氣成真空,將殘留之O2 氣體或H2 氣體自處理室201內排除。此時,若對處理室201內供給惰性氣體,則可進一步提高排除殘留之O2 氣體或H2 氣體之效果(除去殘留氣體)。此時之加熱器207之溫度設定為如下溫度,即,使晶圓200之溫度與供給O2 氣體及H2 氣體時相同地為350~700℃,較佳為350~650℃範圍內之溫度。
將上述步驟1~4設為1個循環,並將該循環實施複數次,藉此可於處理室201內壁等成膜出既定膜厚之SiO膜。
既定膜厚之SiO膜之成膜結束後,對處理室201內供給惰性氣體並進行排氣,藉此以惰性氣體對處理室201內進行淨化(淨化)。之後,將處理室201內之氣體環境置換為惰性氣體,使處理室201內之壓力恢復至常壓(恢復至大氣壓)。
然後,藉由晶舟升降機115而使密封蓋219下降,反應管203之下端開口,並且空晶舟217自反應管203之下端搬出至反應管203之外部(卸載晶舟),於處理容器內形成SiO膜之步驟結束。
之後,重新開始上述之成膜步驟。再者,亦可於重新開始成膜步驟之前,進行以與下一成膜步驟中所成膜之薄膜相同之膜,例如SiN膜覆蓋處理室201內壁等之處理(預塗佈)。該情形時,較佳為於處理室201內壁等形成既定膜厚之SiO膜之後,不自反應管203內搬出空晶舟217,而例如圖6般流過各氣體,藉此可連續地進行於處理室201內壁等形成SiO膜與SiN膜之預塗佈。即,較佳為將向處理室201內供給HCD氣體與供給O2 氣體及H2 氣體作為1個循環並將該循環進行既定次數,藉此可於處理室201內壁等形成既定膜厚之SiO膜之後,連續地將向處理室201內供給HCD氣體與供給NH3 氣體作為1個循環並將該循環進行既定次數,從而可於既定膜厚之SiO膜上形成既定膜厚之SiN膜。該情形時,於處理室201內壁等上形成SiO膜與SiN膜之積層膜。
此時亦可代替SiN膜而於SiO膜上形成SiON膜,亦可將SiON膜與SiN膜之積層膜形成於SiO膜上。
例如,於將SiON膜形成於SiO膜上之情形時,較佳為如圖7般流過各氣體,藉此可連續地進行於處理室201內壁等形成SiO膜與預塗佈SiON膜。即,較佳為將向處理室201內供給HCD氣體與供給O2 氣體及H2 氣體作為1個循環並將該循環進行既定次數,藉此於處理室201內壁等形成既定膜厚之SiO膜之後,連續地將向處理室201內供給HCD氣體與供給NH3 氣體及供給O2 氣體作為1個循環並將該循環進行既定次數,藉此可於既定膜厚之SiO膜上形成既定膜厚之SiON膜。該情形時,於處理室201內壁等形成SiO膜與SiON膜之積層膜。
又例如,於將SiON膜與SiN膜之積層膜形成於SiO膜上之情形時,較佳為如圖8般流過各氣體,藉此連續地進行於處理室201內壁等上形成SiO膜、預塗佈SiON膜、及預塗佈SiN膜。即,較佳為將向處理室201內供給HCD氣體與供給O2 氣體及H2 氣體作為1個循環並將該循環進行既定次數,藉此於處理室201內壁等形成既定膜厚之SiO膜之後,連續地將向處理室201內供給HCD氣體、供給NH3 氣體及供給O2 氣體作為1個循環並將該循環進行既定次數,藉此於既定膜厚之SiO膜上形成既定膜厚之SiON膜,之後,進而連續地將向處理室201內供給HCD氣體與供給NH3 氣體作為1個循環並將該循環進行既定次數,藉此於既定膜厚之SiON膜上形成既定膜厚之SiN膜。該情形時,於處理室201內壁等形成SiO膜、SiON膜及SiN膜之積層膜。
又此時,亦可將自SiO至SiN之組成比一點點地變化之SiON膜形成於SiO膜上。該情形時,例如如圖7般流過各氣體,藉此如上述般連續地進行於處理室201內壁等形成SiO膜與預塗佈SiON膜,或如圖8般流過各氣體,藉此如上述般連續地進行於處理室201內壁等形成SiO膜、預塗佈SiON膜及預塗佈SiN膜。
而且於形成SiON膜時,以SiON膜之組成於與SiO膜接觸之側富含氧,而與SiN膜接觸之側富含氮之方式,形成使厚度方向上自SiO至SiN之組成比一點點地變化之SiON膜。例如,使SiON膜之成膜初期中O2 氣體供給時之處理室內壓力比較大,隨著成膜之進行,該壓力慢慢變小,成膜後期該壓力比較小,藉此隨著成膜之進行,可使O2 氣體之氧化力慢慢變小,可形成使厚度方向之組成比一點點地變化之SiON膜。
(5)本實施形態之效果
根據本實施形態,可發揮以下所示之1個或複數個效果。
(a)根據本實施形態,清潔步驟結束後,於未裝填晶圓200之空晶舟217搬入至處理室201內之狀態下,實施於反應管203內,即,處理室201內壁等利用上述方法形成SiO膜之步驟。發明者發現含氟(F)元素之清潔氣體對利用上述方法所形成之SiO膜之除去速度,與對石英之除去速度相比極小。根據該知識見解,利用上述方法以SiO膜對露出於處理室201內之石英構件之表面進行預塗佈,藉此可降低實施下一清潔步驟時之石英構件之損害。藉此,可減少由石英構件產生之異物,從而可提高半導體裝置之製造良率。又,可提高實施清潔步驟時之處理室201內之溫度,從而可增大SiN膜等沈積物之除去速度。即,可兼顧石英構件所受到之損害之降低與SiN膜等沈積物之除去速度之增大。
圖4(a)表示清潔氣體對石英與採用上述方法所形成之SiO膜、SiN膜、SiCN膜(以下,分別簡單地稱作SiO膜、SiN膜、SiCN膜)之除去速度之測定結果。圖4(a)中設清潔溫度為500℃、600℃,使用NF3 氣體作為清潔氣體,分別測定石英、SiO膜、SiN膜、SiCN膜於各清潔溫度下之除去速度。
圖4(b)表示基於圖4(a)之測定結果之選擇比。圖4(b)中根據圖4(a)之測定結果,求出各清潔溫度下之SiN膜或SiCN膜相對於石英或SiO膜之選擇比。再者,選擇比表示SiN膜或SiCN膜之除去速度相對於石英或SiO膜之除去速度之比率。選擇比越大,則表示將SiN膜或SiCN膜過度清潔(過度蝕刻)時之基底之損害越小。
再者,圖4中將利用上述方法形成之SiO膜、SiN膜、SiCN膜分別簡單地稱作「SiO」、「SiN」、「SiCN」。又,將SiN膜之除去速度相對於石英之除去速度之比(選擇比)、SiN膜之除去速度相對於SiO膜之除去速度之比(選擇比)、SiCN膜之除去速度相對於石英之除去速度之比(選擇比)、SiCN膜之除去速度相對於SiO膜之除去速度之比(選擇比),分別簡單地稱作「SiN/石英」、「SiN/SiO」、「SiCN/石英」、「SiCN/SiO」。
測定之結果如圖4(a)所示於處理室201內之溫度為500℃之情形時,石英、SiO膜、SiN膜、SiCN膜之除去速度,分別為49.0/min、6.1/min、30.0/min、24.2/min。又,於處理室201內之溫度為600℃之情形時,石英、SiO膜、SiN膜、SiCN膜之除去速度,分別為2130/min、29.9/min、1540/min、861.9/min。
又,如圖4(b)所示,於處理室201內之溫度為500℃之情形時,SiN膜之除去速度相對於石英之除去速度之比(選擇比)為0.6,SiN膜之除去速度相對於SiO膜之除去速度之比(選擇比)為4.9,SiCN膜之除去速度相對於石英之除去速度之比(選擇比)為0.5,SiCN膜之除去速度相對於SiO膜之除去速度之比(選擇比)為3.9。又,於處理室201內之溫度為600℃之情形時,SiN膜之除去速度相對於石英之除去速度之比(選擇比)為0.7,SiN膜之除去速度相對於SiO膜之除去速度之比(選擇比)為51.6,SiCN膜之除去速度相對於石英之除去速度之比(選擇比)為0.4,SiCN膜之除去速度相對於SiO膜之除去速度之比(選擇比)為28.9。
即,得知於任一溫度下,SiN膜之除去速度相對於SiO膜之除去速度之比(選擇比),均大於SiN膜之除去速度相對於石英之除去速度之比(選擇比)。又,得知於任一溫度下,SiCN膜之除去速度相對於SiO膜之除去速度之比(選擇比),均大於SiCN膜之除去速度相對於石英之除去速度之比(選擇比)。再者,得知若設處理室201內為高溫,則SiN膜或SiCN膜之除去速度相對於石英之除去速度之比(選擇比)變化不大,但SiN膜或SiCN膜之除去速度相對於SiO膜之除去速度之比(選擇比)變大。例如,處理室201內之溫度為600℃之情形時的SiN膜之除去速度相對於石英之除去速度之比(選擇比),為處理室201內之溫度為500℃之情形時的SiN膜之除去速度相對於石英之除去速度之比(選擇比)之1.2倍左右,與此相對,處理室201內之溫度為600℃之情形時的SiN膜之除去速度相對於SiO膜之除去速度之比(選擇比),為處理室201內之溫度為500℃之情形時的SiN膜之除去速度相對於SiO膜之除去速度之比(選擇比)之10倍以上。
根據以上情況,得知採用上述方法以SiO膜塗佈露出於處理室201內之石英構件之表面,且將作為清潔對象之SiN膜或SiCN膜等基底設為利用上述方法所形成之SiO膜,藉此可減少將SiN膜或SiCN膜等過度清潔時之基底之損害。再者,得知清潔溫度越高,SiN膜或SiCN膜之除去速度相對於SiO膜之除去速度之比(選擇比)越大,利用上述方法所形成之SiO膜之塗佈效果於高溫區域越顯著地顯現出來。
圖5(a)表示清潔氣體對石英與利用上述方法形成之SiO膜、SiN膜、SiCN膜(以下,分別簡單地稱作SiO膜、SiN膜、SiCN膜)之除去速度之測定結果。圖5(a)中設清潔溫度為400℃,使用ClF3 氣體作為清潔氣體,分別測定石英、SiO膜、SiN膜、SiCN膜之除去速度。
圖5(b)表示基於圖5(a)之測定結果之選擇比。圖5(b)中,根據圖5(a)之測定結果而求出SiN膜或SiCN膜相對於石英或SiO膜之選擇比。
再者,圖5與圖4相同,將利用上述方法形成之SiO膜、SiN膜、SiCN膜,分別簡單地稱作「SiO」、「SiN」、「SiCN」。又,將SiN膜之除去速度相對於石英之除去速度之比(選擇比)、SiN膜之除去速度相對於SiO膜之除去速度之比(選擇比)、SiCN膜之除去速度相對於石英之除去速度之比(選擇比)、SiCN膜之除去速度相對於SiO膜之除去速度之比(選擇比),分別簡單地稱作「SiN/石英」、「SiN/SiO」、「SiCN/石英」、「SiCN/SiO」。
測定之結果如圖5(a)所示,於處理室201內之溫度為400℃之情形時,石英、SiO膜、SiN膜、SiCN膜之除去速度分別為14.5/min、11.9/min、147.6/min、306.6/min。
又,如圖5(b)所示,於處理室201內之溫度為400℃之情形時,SiN膜之除去速度相對於石英之除去速度之比(選擇比)為10.2,SiN膜之除去速度相對於SiO膜之除去速度之比(選擇比)為12.4,SiCN膜之除去速度相對於石英之除去速度之比(選擇比)為21.2,SiCN膜之除去速度相對於SiO膜之除去速度之比(選擇比)為25.8。
即,得知SiN膜之除去速度相對於SiO膜之除去速度之比(選擇比),大於SiN膜之除去速度相對於石英之除去速度之比(選擇比)。又,SiCN膜之除去速度相對於SiO膜之除去速度之比(選擇比),大於SiCN膜之除去速度相對於石英之除去速度之比(選擇比)。
根據以上情況,採用上述方法以SiO膜塗佈露出於處理室201內之石英構件之表面,將作為清潔對象之SiN膜或SiCN膜等基底設為利用上述方法形成之SiO膜,藉此可減少對SiN膜或SiCN膜等過度清潔時之基底之損害。
再者,確認出利用上述方法以SiO膜塗佈露出於處理室201內之石英構件表面之後,進而連續地對經加熱而處於未達大氣壓之壓力下之處理室201內供給含氧氣體與含氫氣體,並藉由退火而對SiO膜進行改質,藉此可進一步減少SiO膜中之雜質,又可使SiO膜更緻密化。利用上述方法形成之SiO膜具有非常緻密之優點,例如確認為較石英或CVD-SiO膜更緻密之膜,藉由上述退火而對該SiO膜進行進一步改質,藉此可獲得更緻密之膜。由此,可進一步降低SiO膜之除去速度,從而可進一步對SiN膜或SiCN膜等過度清潔時之基底之損害。再者,將對SiO膜進行改質(退火)時之處理室201內之溫度設為與形成SiO膜時之處理室201內之溫度同等之情形時,亦可獲得該效果,使對SiO膜進行改質(退火)時之處理室201內之溫度大於形成SiO膜時之處理室201內之溫度可提高該效果。
(b)根據本實施形態,清潔步驟結束後,將於處理室201內流過HCD氣體之步驟1、對處理室201內進行淨化之步驟2、於減壓下於處理室201內流過O2 氣體與H2 氣體之步驟3、及對處理室201內進行淨化之步驟4設為1個循環,並將該循環實施複數次,藉此於處理室201內壁等形成SiO膜。利用該方法形成之SiO膜具有高階差之被覆性,因此可有效地修復基底之石英所受到之損害。例如圖9所示以利用該方法形成之SiO膜填埋於基底之石英產生之裂痕等,從而可有效地修復裂痕。再者,圖9(a)表示清潔前之處理室201內壁等之狀態,圖9(b)表示因過度清潔而導致處理室201內壁等受到損害之狀態,圖9(c)表示藉由利用本實施形態之方法形成SiO膜,而修復處理室201內壁等之損害之狀態。藉此,可降低由石英構件產生異物,從而可提高半導體裝置之製造良率。
(c)根據本實施形態,實施利用上述方法於處理容器內形成SiO膜之步驟,藉此可容易地將清潔氣體等殘渣(殘留氟)自處理室201內排出。即,根據本實施形態,於利用上述方法於清潔步驟後之處理容器內形成SiO膜之過程中,對經加熱之減壓下之處理室201內供給O2 氣體與H2 氣體。其結果,供給至處理室201內之H2 氣體與殘留氟(F)反應而生成HF,藉此處理室201內之殘留氟被消耗掉。又,藉由因供給至處理室201內之O2 氣體與H2 氣體之反應而產生之原子狀氧(O)或O2 氣體而置換殘留氟,藉此除去處理室201內之殘留氟。如此,根據本實施形態,於利用上述方法於清潔步驟後之處理容器內形成SiO膜之過程中,對經加熱之減壓下之處理容器內供給O2 氣體與H2 氣體,藉此可容易地將清潔氣體等之殘渣排出。而且,可提高下一成膜步驟之成膜處理之再現性,可防止對晶圓200產生金屬污染。
再者,先前之基板處理裝置中,清潔步驟後之處理室內存在清潔氣體等之殘渣,該殘渣並不容易排出,有時導致下一成膜步驟之成膜處理之再現性降低或者產生金屬污染。因此,清潔步驟結束後,有時實施以與下一成膜步驟中成膜之薄膜相同之膜,例如SiN膜覆蓋處理室內之處理(預塗佈)。與此相對,根據本實施形態,由於容易地將清潔氣體等之殘渣自處理室201內排出,因此不必進行上述之預塗佈,可提高成膜處理之再現性。又亦可防止產生金屬污染。
(d)本實施形態中,使清潔步驟後之處理容器內形成之SiO膜之膜厚為例如200以上且1000以下之範圍,藉此可分別提高作為處理室201內之石英構件之保護膜之效果、及處理室201內之殘留氟之除去效果,並且可縮短基板處理裝置之停機時間。再者,若清潔步驟後形成於處理容器內之SiO膜之膜厚為例如未達200,則於實施下一清潔步驟時有可能是SiO膜被蝕刻而導致反應管203或晶舟217等之石英構件表面部分性地露出,從而作為石英構件之保護膜之效果降低。又,自形成SiO膜之過程中之處理室201內除去殘留氟之效果亦降低。又,若清潔步驟後形成於處理容器內之SiO膜之膜厚大於例如1000,則SiO膜之形成需用過多時間,基板處理裝置之停機時間變長。本實施形態中,使清潔步驟後之處理容器內形成之SiO膜之膜厚為200以上且1000以下之範圍,藉此可解決上述問題。
(e)本實施形態中,實施於處理室201內形成SiO膜之步驟之後,亦可進行以與下一成膜步驟中成膜之薄膜相同之膜例如SiN膜覆蓋處理室201內之處理(預塗佈)。藉由進行該處理,可進一步提高下一成膜步驟之成膜處理之再現性,且可確實地防止對晶圓200產生金屬污染。
(f)再者,上述之於成膜步驟中形成SiN膜之情形時,若於形成於處理室201內之SiO膜上形成SiON膜或SiON膜與SiN膜之積層膜、或使自SiO至SiN之膜組成比一點點地變化之SiON膜,則可藉由重複進行成膜步驟而降低對沈積於該些膜上之SiN膜施加之應力。即,形成於SiO膜與SiN膜之間之SiON膜、或SiON膜與SiN膜之積層膜或使組成比一點點地變化之SiON膜,作為吸收應力之緩衝層(應力吸收層或應力緩和層)發揮功能,藉此可緩和施加至SiN膜之應力。藉此,可防止SiN膜之斷裂或剝離,從而可抑制處理室201內產生微粒。
<本發明之另一實施形態>
以上,對本發明之實施形態進行具體說明,但本發明並不限定於上述實施形態,可於不脫離其主旨之範圍進行各種變更。
例如,於處理容器內形成SiO膜之步驟中,亦可於第1噴嘴249a之內壁面利用上述方法形成SiO膜。該情形時,以亦可對第1噴嘴249a內供給含氧氣體與含氫氣體之方式構成氣體供給系統即可。清潔步驟中,自第1噴嘴249a流過清潔氣體,此時,亦將附著於第1噴嘴249a內之沈積物除去,因此亦可有效地利用上述方法於第1噴嘴249a內壁面形成SiO膜。
又例如,於處理容器內形成SiO膜之步驟並不限定於清潔步驟結束後加以實施之情形,亦可於首次進行成膜步驟之前預先實施。藉此可降低實施清潔步驟時之石英構件之損害,從而可降低由石英構件產生異物,提高半導體裝置之製造良率。又,可進一步提高下一成膜步驟之成膜處理之再現性,可更確實地防止對晶圓200產生金屬污染。
再者,於處理容器內形成SiO膜之步驟,相較於如上述實施形態般於清潔步驟結束後進行,於處理容器內首次進行成膜之前實施則更有效果。藉由如此運用,可更確實地避免反應管203或晶舟217等之石英構件之損害。圖10(a)表示如此運用之情形時清潔前之處理室201內壁等之狀態。於首次進行成膜之前於處理容器內形成SiO膜,藉此作為清潔對象之SiN膜不會形成於石英構件之表面上,而形成於基底之SiO膜上。SiN膜之厚度達到既定厚度之後,與上述實施形態相同地進行清潔。圖10(b)表示藉由基底之SiO膜而保護處理室201內壁等不被過度清潔之狀態。利用上述實施形態之方法形成之SiO膜具有緻密且難以蝕刻之特性,因此僅基底之SiO膜之一部分被蝕刻而變薄,處理室201內壁等不會露出。藉此,可確實地避免反應管203等之石英構件之損害。再者,因過度蝕刻而導致一部分變薄之SiO膜可利用上述實施形態之方法再次形成SiO膜(再次塗佈)而得以修復。圖10(c)表示利用本實施形態之方法而對基底之SiO膜進行修復之狀態。實施清潔後基底之SiO膜被蝕刻而變薄,因此較佳為每次實施清潔時便再次形成SiO膜。即,較佳為作為一個組合來進行清潔與SiO膜之再次形成。再者,亦可於每進行複數次清潔時實施再次形成SiO膜。
又例如,作為成膜步驟中形成之膜,並不限定於上述實施形態所示之SiOCN膜、SiCN膜或SiN膜,亦可為Si膜、SiON膜、SiOC膜。
又例如,作為清潔氣體,除上述實施形態所示之F2 氣體之外,亦可使用NF3 氣體、ClF3 氣體、HF氣體等含氟(F)元素之其他氣體。
又例如,成膜步驟中供給至處理室201內之C3 H6 氣體、NH3 氣體、O2 氣體並不限定於分別因熱而活化情形,亦可使用例如電漿進行活化。
<本發明之較佳態樣>
以下,附上本發明之較佳態樣。
根據本發明之一態樣而提供一種半導體裝置之製造方法,其包括如下步驟:對收納有基板之處理容器內供給處理氣體,而於上述基板上形成與氧化矽膜不同之薄膜;將用以形成上述薄膜之步驟實施既定次數之後,對上述處理容器內供給含氟氣體,而將附著於上述處理容器內包含上述薄膜之沈積物除去;及對除去上述沈積物後經加熱而處於未達大氣壓之壓力下之上述處理容器內,交替供給含矽氣體、含氧氣體及含氫氣體,藉此於上述處理容器內形成既定膜厚之氧化矽膜。
較佳為,於上述處理容器內形成既定膜厚之氧化矽膜之步驟中,一面於上述處理容器內形成上述氧化矽膜,一面使殘留於上述處理容器內之氟,與藉由經加熱而處於未達大氣壓之壓力下之上述處理容器內之上述含氧氣體與上述含氫氣體之反應而生成之反應種進行反應並排出該氟。
又較佳為,於上述處理容器內形成既定膜厚之氧化矽膜之步驟中,一面於上述處理容器內形成上述氧化矽膜,一面使殘留於上述處理容器內之氟與上述含氧氣體及上述反應種進行反應而排出該氟。
又較佳為,於上述處理容器內形成既定膜厚之氧化矽膜之步驟中,一面於上述處理容器內形成上述氧化矽膜,一面使殘留於上述處理容器內之氟與上述含氧氣體、上述含氫氣體及上述反應種反應並排出該氟。
又較佳為,於上述處理容器內形成既定膜厚之氧化矽膜之步驟中,形成具有200以上且1000以下之膜厚之上述氧化矽膜。
又較佳為,於上述處理容器內形成既定膜厚之氧化矽膜之步驟之後,包括如下步驟:對上述處理容器內供給上述處理氣體,而於上述處理容器內之上述氧化矽膜上形成上述薄膜;及對收納有基板之形成上述薄膜後之上述處理容器內供給上述處理氣體,而於上述基板上形成上述薄膜。
又較佳為,於上述處理容器內形成既定膜厚之氧化矽膜之步驟之後,包括如下步驟:對上述處理容器內供給上述處理氣體與含氧氣體,而於上述處理容器內之上述氧化矽膜上形成包含用以構成上述薄膜之元素及氧之緩衝層;對上述處理容器內供給上述處理氣體,而於上述緩衝層上形成上述薄膜;及對收納有基板之形成上述薄膜後之上述處理容器內供給上述處理氣體,而於上述基板上形成上述薄膜。
又較佳為,上述處理容器由石英構成,於上述處理容器內形成既定膜厚之氧化矽膜之步驟中,修復於除去上述沈積物時上述石英上所產生之裂痕。
又較佳為,上述薄膜為矽膜、氮化矽膜、氮氧化矽膜、碳氮化矽膜、碳氮氧化矽膜、碳氧化矽膜。
又較佳為,上述薄膜為氮化矽膜或碳氮化矽膜。
又較佳為,上述含氟氣體為氟氣體、三氟化氮氣體、三氟化氯氣體或氟化氫氣體。
又較佳為,上述含氟氣體為三氟化氮氣體或三氟化氯氣體。
根據本發明之另一態樣而提供一種半導體裝置之製造方法,其包括如下步驟:對經加熱之處於未達大氣壓之壓力下之處理容器內交替供給含矽氣體與含氧氣體及含氫氣體,藉此於上述處理容器內形成既定膜厚之氧化矽膜;對收納有基板且形成上述氧化矽膜後之上述處理容器內供給處理氣體,而於上述基板上形成與上述氧化矽膜不同之薄膜;將形成上述薄膜之步驟實施既定次數之後,對上述處理容器內供給含氟氣體,而將附著於上述處理容器內且包含上述薄膜之沈積物除去;及對除去上述沈積物後經加熱而處於未達大氣壓之壓力下之上述處理容器內交替供給上述含矽氣體與上述含氧氣體及上述含氫氣體,藉此於上述處理容器內形成既定膜厚之氧化矽膜。
較佳為,於除去上述沈積物後在上述處理容器內形成既定膜厚之氧化矽膜之步驟中,對一部分於除去上述沈積物時與上述沈積物一起被除去之上述氧化矽膜進行修復。
根據本發明之又一態樣而提供一種基板處理裝置,其包括:處理容器,其收納基板;加熱器,其對上述處理容器內進行加熱;壓力調整部,其對上述處理容器內之壓力進行調整;處理氣體供給系統,其對上述處理容器內供給處理氣體;含氟氣體供給系統,其對上述處理容器內供給含氟氣體;含矽氣體供給系統,其對上述處理容器內供給含矽氣體;含氧氣體供給系統,其對上述處理容器內供給含氧氣體;含氫氣體供給系統,其對上述處理容器內供給含氫氣體;及控制部,其控制上述加熱器、上述壓力調整部、上述處理氣體供給系統、上述含氟氣體供給系統、上述含矽氣體供給系統、上述含氧氣體供給系統及上述含氫氣體供給系統,以進行如下步驟:對收納有基板之上述處理容器內供給上述處理氣體,而於上述基板上形成與氧化矽膜不同之薄膜之步驟;將用以形成上述薄膜之步驟實施既定次數之後,對上述處理容器內供給上述含氟氣體,而將附著於上述處理容器內且包含上述薄膜之沈積物除去之步驟;及對除去上述沈積物後經加熱而處於未達大氣壓之壓力下之上述處理容器內交替供給上述含矽氣體與上述含氧氣體及上述含氫氣體,藉此於上述處理容器內形成既定膜厚之氧化矽膜之步驟。
根據本發明之進而又一態樣而提供一種基板處理裝置,其包括:處理容器,其收納基板;加熱器,其對上述處理容器內進行加熱;壓力調整部,其對上述處理容器內之壓力進行調整;處理氣體供給系統,其對上述處理容器內供給處理氣體;含氟氣體供給系統,其對上述處理容器內供給含氟氣體;含矽氣體供給系統,其對上述處理容器內供給含矽氣體;含氧氣體供給系統,其對上述處理容器內供給含氧氣體;含氫氣體供給系統,其對上述處理容器內供給含氫氣體;及控制部,其控制上述加熱器、上述壓力調整部、上述處理氣體供給系統、上述含氟氣體供給系統、上述含矽氣體供給系統、上述含氧氣體供給系統及上述含氫氣體供給系統,以進行如下步驟:對經加熱而處於未達大氣壓之壓力下之上述處理容器內交替供給上述含矽氣體、上述含氧氣體及上述含氫氣體,藉此於上述處理容器內形成既定膜厚之氧化矽膜之步驟;對收納有基板之形成上述氧化矽膜之後之上述處理容器內供給上述處理氣體,而於上述基板上形成與上述氧化矽膜不同之薄膜之步驟;將用以形成上述薄膜之步驟實施既定次數之後,對上述處理容器內供給上述含氟氣體,而將附著於上述處理容器內且包含上述薄膜之沈積物除去之步驟;對除去上述沈積物後經加熱而處於未達大氣壓之壓力下之上述處理容器內交替供給上述含矽氣體、上述含氧氣體及上述含氫氣體,藉此於上述處理容器內形成既定膜厚之氧化矽膜之步驟。
115...晶舟升降機
121...控制器
200...晶圓
201...處理室
202...處理爐
203...反應管
207...加熱器
217...晶舟
218...隔熱構件
219...密封蓋
220...O形環
224...電漿生成區域
231...排氣管
232a...第1氣體供給管
232b...第2氣體供給管
232c...第3氣體供給管
232d...第4氣體供給管
232i...第5氣體供給管
232j...第6氣體供給管
232e...第1惰性氣體供給管
232f...第2惰性氣體供給管
232g...第3惰性氣體供給管
232h...第4惰性氣體供給管
237...緩衝室
241a、241b、241c、241d、241e、241f、241g、241h、241i、241j...質量流量控制器
243a、243b、243c、243d、243e、243f、243g、243h、243i、243j...閥門
244...APC閥門
245...壓力感測器
246...真空泵
249a...第1噴嘴
249b...第2噴嘴
249c...第3噴嘴
249d...第4噴嘴
250a...第1噴嘴之氣體供給孔
250b...第2噴嘴之氣體供給孔
250c...第3噴嘴之氣體供給孔
250d...第4噴嘴之氣體供給孔
250e...氣體供給孔
255...旋轉軸
263...溫度感測器
267...旋轉機構
269...第1棒狀電極
270...第2棒狀電極
272...匹配器
273...高頻電源
275...電極保護管
圖1係本實施形態中可較佳地使用之基板處理裝置之立式處理爐之概略構成圖,且係以縱剖面表示處理爐部分之圖。
圖2係本實施形態中可較佳地使用之基板處理裝置之立式處理爐之概略構成圖,且係以圖1之A-A線剖面圖表示處理爐部分之圖。
圖3係表示本實施形態之成膜步驟之氣體供給之時序之圖。
圖4(a)係表示石英、SiO膜、SiN膜、SiCN膜之除去速度之測定結果之圖表,圖4(b)係表示基於圖4(a)之測定結果之選擇比之圖表。
圖5(a)係表示石英、SiO膜、SiN膜、SiCN膜之除去速度之測定結果之圖表,圖5(b)係表示基於圖5(a)之測定結果之選擇比之圖表。
圖6係表示連續進行利用本實施形態之方法於處理室內壁等形成SiO膜與SiN膜之預塗佈之氣體供給之時序之圖。
圖7係表示連續進行利用本實施形態之方法於處理室內壁等形成SiO膜與SiON膜之預塗佈之氣體供給之時序之圖。
圖8係表示連續進行利用本實施形態之方法於處理室內壁等形成SiO膜與SiON膜之預塗佈及SiN膜之預塗佈之情形之氣體供給之時序之圖。
圖9(a)表示清潔前之處理室內壁等之狀態,圖9(b)表示因過度清潔而導致處理室內壁等受到損害之狀態,圖9(c)表示藉由利用本實施形態之方法形成SiO膜而修復處理室內壁等之損害之狀態。
圖10(a)表示於首次進行成膜之前形成SiO膜之情形之清潔前之處理室內壁等之狀態,圖10(b)表示藉由SiO膜保護處理室內壁等不被過度清潔之狀態,圖10(c)表示利用本實施形態之方法修復基底之SiO膜之狀態。
115...晶舟升降機
121...控制器
200...晶圓
201...處理室
202...處理爐
203...反應管
207...加熱器
217...晶舟
218...隔熱構件
219...密封蓋
220...O形環
231...排氣管
232a...第1氣體供給管
232b...第2氣體供給管
232c...第3氣體供給管
232d...第4氣體供給管
232i...第5氣體供給管
232j...第6氣體供給管
232e...第1惰性氣體供給管
232f...第2惰性氣體供給管
232g...第3惰性氣體供給管
232h...第4惰性氣體供給管
237...緩衝室
241a、241b、241c、241d、241e、241f、241g、241h、241i、241j...質量流量控制器
243a、243b、243c、243d、243e、243f、243g、243h、243i、243j...閥門
244...APC閥門
245...壓力感測器
246...真空泵
249a...第1噴嘴
249b...第2噴嘴
249c...第3噴嘴
249d...第4噴嘴
250a...第1噴嘴之氣體供給孔
250b...第2噴嘴之氣體供給孔
250c...第3噴嘴之氣體供給孔
250e...氣體供給孔
255...旋轉軸
263...溫度感測器
267...旋轉機構

Claims (21)

  1. 一種半導體裝置之製造方法,其包括如下步驟:對收納有基板之處理容器內供給處理氣體,而於上述基板上形成與氧化矽膜不同之薄膜;將用以形成上述薄膜之步驟實施既定次數之後,對未收納基板之上述處理容器內供給含氟氣體,而將附著於上述處理容器內包含上述薄膜之沈積物除去;及對除去上述沈積物後之未收納基板之上述處理容器內,交替供給含矽氣體、含氧氣體及含氫氣體,藉此於上述處理容器內形成既定膜厚之氧化矽膜;於上述處理容器內形成既定膜厚之氧化矽膜的步驟中,交替進行下述步驟:對經加熱而處於未達大氣壓之壓力下之上述處理容器內供給上述含矽氣體,而於上述處理容器內形成含矽層;及對經加熱而處於未達大氣壓之壓力下之上述處理容器內供給上述含氧氣體及上述含氫氣體,於上述處理容器內使上述含氧氣體及上述含氫氣體於無電漿下進行熱活性化並進行反應,藉此生成包含原子狀氧之反應種,藉由此包含原子狀氧之反應種而使上述含矽層進行氧化,變化為氧化矽層。
  2. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述處理容器內形成既定膜厚之氧化矽膜之步驟中,一面於上述處理容器內形成上述氧化矽膜,一面使殘留於上述處理容器內之氟,與上述包含原子狀氧之反應種進行反應 並排出該氟。
  3. 如申請專利範圍第2項之半導體裝置之製造方法,其中,於上述處理容器內形成既定膜厚之氧化矽膜之步驟中,一面於上述處理容器內形成上述氧化矽膜,一面使殘留於上述處理容器內之氟與上述含氧氣體及上述包含原子狀氧之反應種進行反應並排出該氟。
  4. 如申請專利範圍第3項之半導體裝置之製造方法,其中,於上述處理容器內形成既定膜厚之氧化矽膜之步驟中,一面於上述處理容器內形成上述氧化矽膜,一面使殘留於上述處理容器內之氟與上述含氧氣體、上述含氫氣體及上述包含原子狀氧之反應種反應並排出該氟。
  5. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述處理容器內形成既定膜厚之氧化矽膜之步驟中,形成具有200Å以上且1000Å以下之膜厚之上述氧化矽膜。
  6. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述處理容器內形成既定膜厚之氧化矽膜之步驟之後,包括如下步驟:對未收納基板之上述處理容器內供給上述處理氣體,而於上述處理容器內之上述氧化矽膜上形成上述薄膜;及對收納有基板之形成上述薄膜後之上述處理容器內供給上述處理氣體,而於上述基板上形成上述薄膜。
  7. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述處理容器內形成既定膜厚之氧化矽膜之步驟之 後,包括如下步驟:對未收納基板之上述處理容器內供給上述處理氣體與含氧氣體,而於上述處理容器內之上述氧化矽膜上形成包含用以構成上述薄膜之元素及氧之緩衝層;對未收納基板之上述處理容器內供給上述處理氣體,而於上述緩衝層上形成上述薄膜;及對收納有基板之形成上述薄膜後之上述處理容器內供給上述處理氣體,而於上述基板上形成上述薄膜。
  8. 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述處理容器由石英構成,於上述處理容器內形成既定膜厚之氧化矽膜之步驟中,修復於除去上述沈積物時上述石英上所產生之裂痕。
  9. 一種半導體裝置之製造方法,其包括如下步驟:對未收納基板之處理容器內交替供給含矽氣體與含氧氣體及含氫氣體,藉此於上述處理容器內形成既定膜厚之第1氧化矽膜;對收納有基板且形成上述氧化矽膜後之上述處理容器內供給處理氣體,而於上述基板上形成與上述氧化矽膜不同之薄膜;將形成上述薄膜之步驟實施既定次數之後,對未收納基板之上述處理容器內供給含氟氣體,而將附著於上述處理容器內且包含上述薄膜之沈積物除去;及對除去上述沈積物後之未收納基板之上述處理容器內交 替供給上述含矽氣體與上述含氧氣體及上述含氫氣體,藉此於上述處理容器內形成既定膜厚之第2氧化矽膜;於在上述處理容器內形成既定膜厚之第1氧化矽膜的步驟及在上述處理容器內形成既定膜厚之第2氧化矽膜的步驟中,交替進行下步驟:對經加熱而處於未達大氣壓之壓力下之上述處理容器內供給上述含矽氣體,而於上述處理容器內形成含矽層;及對經加熱而處於未達大氣壓之壓力下之上述處理容器內供給上述含氧氣體及上述含氫氣體,於上述處理容器內使上述含氧氣體及上述含氫氣體於無電漿下進行熱活性化並進行反應,藉此生成包含原子狀氧之反應種,藉由此包含原子狀氧之反應種而使上述含矽層進行氧化,變化為氧化矽層。
  10. 如申請專利範圍第9項之半導體裝置之製造方法,其中,於除去上述沈積物後之未收納基板之上述處理容器內形成既定膜厚之第2氧化矽膜之步驟中,對一部分於除去上述沈積物時與上述沈積物一起被除去之上述第1氧化矽膜進行修復。
  11. 一種基板處理裝置,其包括:處理容器,其收納基板;加熱器,其對上述處理容器內進行加熱;壓力調整部,其對上述處理容器內之壓力進行調整;處理氣體供給系統,其對上述處理容器內供給處理氣體;含氟氣體供給系統,其對上述處理容器內供給含氟氣體; 含矽氣體供給系統,其對上述處理容器內供給含矽氣體;含氧氣體供給系統,其對上述處理容器內供給含氧氣體;含氫氣體供給系統,其對上述處理容器內供給含氫氣體;及控制部,其控制上述加熱器、上述壓力調整部、上述處理氣體供給系統、上述含氟氣體供給系統、上述含矽氣體供給系統、上述含氧氣體供給系統及上述含氫氣體供給系統,以進行如下步驟:對收納有基板之上述處理容器內供給上述處理氣體,而於上述基板上形成與氧化矽膜不同之薄膜之步驟;將用以形成上述薄膜之步驟實施既定次數之後,對未收納基板之上述處理容器內供給上述含氟氣體,而將附著於上述處理容器內且包含上述薄膜之沈積物除去之步驟;及對除去上述沈積物後之未收納基板之上述處理容器內交替供給上述含矽氣體與上述含氧氣體及上述含氫氣體,藉此於上述處理容器內形成既定膜厚之氧化矽膜之步驟;於上述處理容器內形成既定膜厚之氧化矽膜的步驟中,交替進行如下步驟:對經加熱而處於未達大氣壓之壓力下之上述處理容器內供給上述含矽氣體,而於上述處理容器內形成含矽層;及對經加熱而處於未達大氣壓之壓力下之上述處理容器內供給上述含氧氣體及上述含氫氣體,於上述處理容器內使上述含氧氣體及上述含氫氣體於無電漿下進行熱活性化並進行反應,藉此生成包含原子狀氧之反應種,藉由此包含原子 狀氧之反應種而使上述含矽層進行氧化,變化為氧化矽層。
  12. 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述含氧氣體不含氮,上述含氫氣體不含其他元素。
  13. 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述含氧氣體係自氧氣體及臭氧氣體所組成之群中選擇之至少一種氣體;上述含氫氣體係自氫氣體及氘氣體所組成群中選擇之至少一種氣體。
  14. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述處理容器內形成既定膜厚之氧化矽膜的步驟中,藉由交替進行形成上述含矽層之步驟、及使上述含矽層進行氧化而變化為氧化矽層之步驟,於上述處理容器內形成既定膜厚之氧化矽膜後,進一步進行對經加熱而處於未達大氣壓之壓力下之上述處理容器內供給上述含氧氣體及上述含氫氣體,並對上述處理容器內形成之既定膜厚之氧化矽膜進行退火之步驟。
  15. 如申請專利範圍第14項之半導體裝置之製造方法,其中,將對上述處理容器內形成之既定膜厚之氧化矽膜進行退火時之上述處理容器內之溫度,設為與於上述處理容器內形成既定膜厚之氧化矽膜時之上述處理容器內之溫度同等。
  16. 如申請專利範圍第14項之半導體裝置之製造方法,其中,使對上述處理容器內形成之既定膜厚之氧化矽膜進行退火時之上述處理容器內之溫度,大於在上述處理容器內形成 既定膜厚之氧化矽膜時之上述處理容器內之溫度。
  17. 如申請專利範圍第1項之半導體裝置之製造方法,其中,於上述基板上形成與氧化矽膜不同之薄膜之步驟中,經由噴嘴對上述處理容器內供給上述處理氣體;於將附著於上述處理容器內的包含上述薄膜之沈積物除去之步驟中,亦對上述噴嘴內供給含氟氣體,亦將附著於上述噴嘴內之沉積物除去;於在上述處理容器內形成既定膜厚之氧化矽膜的步驟中交替進行如下步驟,藉此於上述噴嘴內形成既定膜厚之氧化矽膜:對經加熱而處於未達大氣壓之壓力下之上述噴嘴內供給上述含矽氣體,而於上述噴嘴內形成含矽層;及對經加熱而處於未達大氣壓之壓力下之上述噴嘴內供給上述含氧氣體及上述含氫氣體,於上述噴嘴內使上述含氧氣體及上述含氫氣體於無電漿下進行熱活性化並進行反應,藉此生成包含原子狀氧之反應種,藉由此包含原子狀氧之反應種而使上述含矽層進行氧化,變化為氧化矽層。
  18. 如申請專利範圍第7項之半導體裝置之製造方法,其中,上述緩衝層係緩和施加至上述薄膜之應力的應力緩和層。
  19. 如申請專利範圍第7項之半導體裝置之製造方法,其 中,上述薄膜係氮化矽膜,上述緩衝層係氮氧化矽膜。
  20. 如申請專利範圍第19項之半導體裝置之製造方法,其中,上述緩衝層係將自氧化矽膜至氮化矽膜之組成比一點點地變化之氮氧化矽膜。
  21. 如申請專利範圍第19項之半導體裝置之製造方法,其中,上述緩衝層係與上述氧化矽膜接觸之側富含氧、與上述氮化矽膜接觸之側富含氮,且使厚度方向上自氧化矽膜至氮化矽膜之組成比一點點地變化之氮氧化矽膜。
TW100107366A 2010-03-08 2011-03-04 半導體裝置之製造方法及基板處理裝置 TWI467656B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010050752 2010-03-08

Publications (2)

Publication Number Publication Date
TW201142950A TW201142950A (en) 2011-12-01
TWI467656B true TWI467656B (zh) 2015-01-01

Family

ID=44563321

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100107366A TWI467656B (zh) 2010-03-08 2011-03-04 半導體裝置之製造方法及基板處理裝置

Country Status (5)

Country Link
US (1) US8895457B2 (zh)
JP (1) JP5571770B2 (zh)
KR (1) KR101366000B1 (zh)
TW (1) TWI467656B (zh)
WO (1) WO2011111498A1 (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5654862B2 (ja) * 2010-04-12 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5677563B2 (ja) * 2011-02-24 2015-02-25 株式会社日立国際電気 基板処理装置、基板の製造方法及び半導体装置の製造方法
KR102021510B1 (ko) * 2011-06-30 2019-09-16 어플라이드 머티어리얼스, 인코포레이티드 고속 가스 교환, 고속 가스 전환 및 프로그램 가능한 가스 전달을 위한 방법 및 장치
JP2013077805A (ja) * 2011-09-16 2013-04-25 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5807511B2 (ja) * 2011-10-27 2015-11-10 東京エレクトロン株式会社 成膜装置及びその運用方法
JP6239079B2 (ja) * 2011-12-09 2017-11-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6080253B2 (ja) * 2011-12-26 2017-02-15 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
JP5922542B2 (ja) * 2012-09-19 2016-05-24 東京エレクトロン株式会社 積層膜の形成方法およびその形成装置
JP6199570B2 (ja) * 2013-02-07 2017-09-20 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
CN105210173A (zh) * 2013-05-23 2015-12-30 应用材料公司 用于半导体处理腔室的经涂布的衬里组件
JP6242095B2 (ja) 2013-06-28 2017-12-06 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
JP6154215B2 (ja) 2013-06-28 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
JP6496510B2 (ja) 2014-10-02 2019-04-03 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6529780B2 (ja) * 2015-02-25 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
JP6545093B2 (ja) * 2015-12-14 2019-07-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
WO2017199570A1 (ja) * 2016-05-20 2017-11-23 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置およびプログラム
JP6602332B2 (ja) * 2017-03-28 2019-11-06 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP2018170387A (ja) * 2017-03-29 2018-11-01 東京エレクトロン株式会社 成膜方法及び縦型熱処理装置
JP6779165B2 (ja) * 2017-03-29 2020-11-04 東京エレクトロン株式会社 金属汚染防止方法及び成膜装置
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
JP6860537B2 (ja) 2018-09-25 2021-04-14 株式会社Kokusai Electric クリーニング方法、半導体装置の製造方法、基板処理装置、およびプログラム
KR102227909B1 (ko) 2019-07-16 2021-03-16 주식회사 유진테크 배치식 기판처리장치 및 그 운용방법
JP6999616B2 (ja) 2019-08-07 2022-01-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US20220216063A1 (en) 2020-04-14 2022-07-07 Showa Denko K.K. Etching method and method for manufacturing semiconductor element
CN117716062A (zh) 2021-09-17 2024-03-15 株式会社国际电气 半导体装置的制造方法、基板处理装置、程序以及涂布方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5976900A (en) * 1997-12-08 1999-11-02 Cypress Semiconductor Corp. Method of reducing impurity contamination in semiconductor process chambers
US20060121194A1 (en) * 2004-12-08 2006-06-08 Elpida Memory, Inc. Method for cleaning a deposition chamber
TW200625444A (en) * 2004-07-15 2006-07-16 Tokyo Electron Ltd Method and apparatus for forming silicon oxide film

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960011861B1 (ko) * 1993-06-10 1996-09-03 삼성전자 주식회사 반도체장치의 소자 분리 방법
WO2007116768A1 (ja) 2006-03-27 2007-10-18 Hitachi Kokusai Electric Inc. 半導体装置の製造方法及び基板処理装置
WO2007111348A1 (ja) * 2006-03-28 2007-10-04 Hitachi Kokusai Electric Inc. 基板処理装置
US7678668B2 (en) * 2007-07-04 2010-03-16 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of SOI substrate and manufacturing method of semiconductor device
JP4531833B2 (ja) 2007-12-05 2010-08-25 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びクリーニング方法
JP5202372B2 (ja) 2008-03-14 2013-06-05 東京エレクトロン株式会社 成膜装置のメタル汚染低減方法、半導体装置の製造方法、記憶媒体及び成膜装置
JP5665289B2 (ja) * 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5044579B2 (ja) * 2009-01-27 2012-10-10 東京エレクトロン株式会社 薄膜形成装置の洗浄方法、薄膜形成方法、薄膜形成装置及びプログラム

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5976900A (en) * 1997-12-08 1999-11-02 Cypress Semiconductor Corp. Method of reducing impurity contamination in semiconductor process chambers
TW200625444A (en) * 2004-07-15 2006-07-16 Tokyo Electron Ltd Method and apparatus for forming silicon oxide film
US20060121194A1 (en) * 2004-12-08 2006-06-08 Elpida Memory, Inc. Method for cleaning a deposition chamber

Also Published As

Publication number Publication date
JP5571770B2 (ja) 2014-08-13
KR101366000B1 (ko) 2014-02-21
KR20120085906A (ko) 2012-08-01
JPWO2011111498A1 (ja) 2013-06-27
US20130017685A1 (en) 2013-01-17
WO2011111498A1 (ja) 2011-09-15
US8895457B2 (en) 2014-11-25
TW201142950A (en) 2011-12-01

Similar Documents

Publication Publication Date Title
TWI467656B (zh) 半導體裝置之製造方法及基板處理裝置
TWI442475B (zh) 製造半導體裝置之方法、清潔處理容器之方法及基板處理設備
JP6602699B2 (ja) クリーニング方法、半導体装置の製造方法、基板処理装置およびプログラム
KR101705966B1 (ko) 클리닝 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6960953B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP6124724B2 (ja) クリーニング方法、半導体装置の製造方法、基板処理装置およびプログラム
JP6778166B2 (ja) 半導体装置の製造方法
JP6999616B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6368850B2 (ja) クリーニング方法、半導体装置の製造方法、基板処理装置およびプログラム
JP2011192875A (ja) 半導体装置の製造方法及び基板処理装置
JP7315744B1 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
WO2023047918A1 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP2024047208A (ja) 基板処理方法、半導体装置の製造方法、基板処理システム、およびプログラム
JP2022118060A (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP6905505B2 (ja) 半導体装置の製造方法、表面処理方法、基板処理装置、およびプログラム
JP2020198447A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP7135190B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP7461396B2 (ja) 基板処理方法、半導体装置の製造方法、プログラム、および基板処理装置
JP7305013B2 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP7329021B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理システム、およびプログラム
JP7189914B2 (ja) クリーニング方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP7182577B2 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP2024047456A (ja) 基板処理方法、半導体装置の製造方法、基板処理システム、およびプログラム