TWI453793B - A pattern forming method and a method of manufacturing the semiconductor device - Google Patents

A pattern forming method and a method of manufacturing the semiconductor device Download PDF

Info

Publication number
TWI453793B
TWI453793B TW096149752A TW96149752A TWI453793B TW I453793 B TWI453793 B TW I453793B TW 096149752 A TW096149752 A TW 096149752A TW 96149752 A TW96149752 A TW 96149752A TW I453793 B TWI453793 B TW I453793B
Authority
TW
Taiwan
Prior art keywords
oxide film
plasma
pattern
gas
ruthenium oxide
Prior art date
Application number
TW096149752A
Other languages
English (en)
Other versions
TW200839846A (en
Inventor
Masaru Hori
Yoshiro Kabe
Toshihiko Shiozawa
Junichi Kitagawa
Original Assignee
Univ Nagoya Nat Univ Corp
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Univ Nagoya Nat Univ Corp, Tokyo Electron Ltd filed Critical Univ Nagoya Nat Univ Corp
Publication of TW200839846A publication Critical patent/TW200839846A/zh
Application granted granted Critical
Publication of TWI453793B publication Critical patent/TWI453793B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Weting (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

圖案形成方法及半導體裝置的製造方法
本發明是有關圖案形成方法及半導體裝置的製造方法,詳細是有關例如可適用於各種半導體裝置的製造過程中在矽表面形成線&空間等的圖案時之圖案形成方法及半導體裝置的製造方法。
在各種半導體裝置的製造過程是利用微影技術,將形成於被處理基板表面的阻絕層(resist)膜予以曝光及顯像,藉此圖案化而形成阻絕層圖案,且以該阻絕層圖案作為光罩來蝕刻,在被處理基板例如形成線(line)&空間(space)等的圖案。其一例,例如提案在多晶矽閘極電極的製造過程中,以預先作成的阻絕層圖案作為光罩,利用CF系的氣體及包含Cl2 、HBr、CF4 的氣體之電漿來乾蝕刻形成於半導體晶圓的多晶矽層(例如,日本特開2004-266249號公報(專利文獻1))。
然而,利用電漿藉由乾蝕刻來形成圖案時,形狀的控制難,且製程的安定性成課題,因此在上述專利文獻1的方法,為了控制形狀,採用在蝕刻途中改變氣體種的繁雜手法。
並且,就乾蝕刻而言,在矽表面或下層膜等產生表面粗糙等的電漿損傷,或蝕刻時生成的副生成物,在往後進行的熱氧化工程擴散等的問題亦被指摘。如此生成的表面 粗糙或損傷層是造成在半導體裝置中接合洩漏的増大等不良情況的原因。
而且,最近半導體裝置的微細化正急速發展,但在微影技術中曝光精度及顯像精度趨近界限,且蝕刻的精度或形狀控制性也趨近界限為實情。
本發明的目的是在於提供一種可對應於微細化,且難以產生電漿損傷之圖案形成方法及半導體裝置的製造方法。
若根據本發明的第1觀點,則可提供一種圖案形成方法,其特徵係包含:準備具有形成初期圖案的矽之被處理體,該初期圖案具有第1線寬;在電漿處理裝置的處理室內電漿氧化處理上述矽表面,於上述初期圖案的表面形成氧化矽膜;及除去上述氧化矽膜,並且,藉由重複進行上述氧化矽膜的形成及上述氧化矽膜的除去,在被處理體上形成具有比上述第1線寬更微細的第2線寬之目的的圖案。
在上述第1觀點中,上述氧化矽膜的除去可藉由使用稀氟酸的溼蝕刻處理,在氟酸蒸氣氣氛下的蒸氣蝕刻處理或大氣壓電漿蝕刻處理來進行。又,上述氧化矽膜的除去可在與上述處理室同一或別的處理室內使包含HF及NH3 的反應性氣體作用於上述氧化矽膜而形成反應生成物後,加熱被處理體來去除該反應生成物,又,可藉由形成包含H及N的氣體的電漿來形成包含H及N的活性種,將該活性種導入與上述處理室同一或別的處理室內,且對該處理室內至少導入NF3 氣體,而藉由上述活性種來使NF3 氣體活性化,使包含該等H、N及NF3 的活性種作用於上述氧化矽膜而形成反應生成物後,加熱被處理體來去除該反應生成物。
又,上述第1觀點中,最好上述第2線寬為20nm以下。又,將上述矽表面予以電漿氧化處理而形成的上述氧化矽膜的膜厚最好是每1次為3~15nm。
又,形成上述氧化矽膜時的電漿氧化處理最好是藉由不拘矽的面方位可形成均一的氧化矽膜之電漿氧化處理方法來進行。又,形成上述氧化矽膜時的電漿氧化處理是生成O(1 D2 )自由基的密度為1×1012 [cm-3 ]以上的電漿,可藉由該電漿來氧化處理上述矽表面。又,形成上述氧化矽膜時的電漿氧化處理裝置可使用藉由具有複數的縫隙之平面天線來對上述處理室內導入微波而形成微波激勵電漿之電漿處理裝置。
形成上述氧化矽膜時的電漿氧化處理可將處理壓力設為1.33~334Pa的範圍來進行。又,形成上述氧化矽膜時的電漿氧化處理可將處理氣體中的氧的比例設為0.2~1%的範圍來進行。此情況,上述處理氣體最好是以0.01~1%的比例含氫。又,形成上述氧化矽膜時的電漿氧化處理 可將處理溫度設為400~600℃的範圍來進行。
若根據本發明的第2觀點,則可提供一種半導體裝置的製造方法,其特徵係包含:圖案形成處理,其係包含:在電漿處理裝置的處理室內電漿氧化處理具有形成初期圖案(具有第1線寬)的矽之被處理體的上述矽表面,在上述初期圖案的表面形成氧化矽膜、及除去上述氧化矽膜,且藉由重複進行上述氧化矽膜的形成及上述氧化矽膜的除去,在被處理體上形成具有比上述第1線寬更微細的第2線寬之目的的圖案;及氧化矽膜形成處理,其係在上述電漿處理裝置的處理室內電漿氧化形成有上述目的的圖案之被處理體的表面,形成氧化矽膜。
此情況,上述半導體裝置可適用3次元構造裝置。
若根據本發明,則與以往的微影技術之圖案形成相較之下,可藉由重複進行在初期圖案的矽表面形成氧化矽膜、及除去該氧化矽膜,來形成更微細的圖案。
特別是在表面氧化工程中,利用微波激勵電漿的自由基氧化時,可藉由含高密度且低能量的離子及自由基的電漿來極力抑止電漿損傷,不依存於矽的面方位,Si/SiO2 界面的粗度小,以均一的膜厚來形成氧化矽膜。因此,可藉由重複進行如此的氧化矽膜的形成、及氧化矽膜的除去,來形成圖案表面的膜質為良質,且高精度的圖案。
以下,參照適當圖面來具體說明有關本發明的實施形態。
圖1是表示本發明之一實施形態的圖案形成方法的流程圖。
在本實施形態的圖案形成方法中,首先,在被處理基板的矽表面形成具有第1線寬的初期圖案(步驟1)。此初期圖案可例如以藉由微影技術所形成圖案的阻絕層作為光罩來進行乾蝕刻等而形成。另外,蝕刻後的表面粗度良好為0.2~1nm,但因為在表面部份有蝕刻損傷等進入,所以亦可去除該部份。
其次,對形成有初期圖案的被處理基板實施電漿氧化處理,氧化矽表面而形成氧化矽膜(步驟2:表面氧化工程)。此電漿氧化處理,如後述般,可利用使用RLSA(Radial Line Slot Antenna;徑向線縫隙天線)等的平面天線的微波電漿處理裝置來進行。
其次,除去所被形成的氧化矽膜(氧化膜除去工程:步驟3)。此氧化膜除去處理的方法並無特別加以限定,只要是能夠選擇性除去氧化矽膜的手法即可,例如可以(1)使用稀氟酸的溼蝕刻處理、(2)在氟酸蒸氣氣氛下的蒸氣蝕刻處理、(3)大氣壓電漿蝕刻處理、(4)使包含HF及NH3 的反應性氣體作用於氧化矽膜而形成反應生成物後,藉由加熱來除去反應生成物的COR(化學性氧化膜除去;Chemical Oxide Removal)處理、(5)使包含H、N及NF3 的活性種作用於氧化矽膜而形成反應生成物後,藉由加熱來 除去反應生成物的NOR(自然氧化膜除去;Native Oxide Removal)處理等的方法進行。另外,(4)的COR處理是例如在日本特許第2501295號,(5)的NOR處理是例如在日本特開2000-208498號公報等中,作為除去形成於矽表面的自然氧化膜等的方法之手法。
本實施形態的圖案形成方法是重複實施步驟S2的電漿氧化處理、及步驟S3的氧化膜除去處理,2次以上20次以下、較理想是3~5次程度。藉此,可由具有第1線寬的初期圖案,形成具有比第1線寬更微細的第2線寬之目的的圖案(2次圖案)。
圖2A~2E是用以說明藉由重複進行步驟S2的表面氧化工程、及步驟S3的氧化膜除去工程來形成微細的圖案之原理的概略工程剖面圖。
在初期段階,如圖2A所示,在晶圓W的表面形成具有由矽(多晶矽、非晶形矽或單結晶矽)所構成的第1線寬w0 之初期圖案300。其次,將形成有該初期圖案300的矽表面予以電漿氧化處理,藉此如圖2B所示,形成氧化矽膜310(圖1的步驟S2)。最好是規定電漿處理條件,例如氣體流量、微波輸出、處理壓力、處理時間等,而使該氧化矽膜310能夠形成所定的膜厚,例如3~15nm,較理想是6~10nm。
其次,如圖2C所示,藉由上述(1)~(5)等的氧化膜除去方法來除去氧化矽膜310(圖1的步驟S3)。藉此,可取得具有比第1線寬w0 小的中間線寬w1 的中間圖案301。
其次,與上述同様地將形成有中間圖案301的矽表面予以電漿氧化處理,藉此如圖2D所示般形成氧化矽膜311,再度除去此氧化矽膜311。如此,表面氧化處理及氧化膜除去處理最好重複必要的次數,例如2~20次、較理想是3~5次,藉此如圖2E所示般可取得具有比上述中間線寬w1 更小的線寬w2 之目的的2次圖案302。藉由如此重複氧化處理圖案表面的矽而形成氧化膜的工程、及除去氧化膜的工程,可使圖案的線寬,例如微細化100nm以下、最好是20nm以下、更理想是8~10nm。
其次,說明有關在本實施形態的圖案形成方法之一工程的表面氧化工程(步驟S2)中氧化晶圓W上的矽(多晶矽、單結晶矽)表面而形成氧化矽膜之電漿氧化處理所合適的電漿處理裝置之一例。
圖3是表示如此的電漿處理裝置的模式剖面圖。此電漿處理裝置100為RLSA微波電漿處理裝置,其係使用具有複數個縫隙的平面天線、特別是RLSA(Radial Line Slot Antenna;徑向線縫隙天線)來導入微波至處理室內而使電漿發生,藉此得以產生高密度且低電子溫度的微波電漿,例如可適用於以電晶體的閘極絕緣膜為首之各種半導體裝置的絕緣膜的形成。
此電漿處理裝置100是構成氣密,具有被接地之略圓筒狀的反應室(chamber)1。在反應室1的底壁1a的大略中央部形成有圓形的開口部10,在底壁1a設有與該開口部10連通,往下方突出的排氣室11。
在反應室1內設有用以水平支撐被處理基板的半導體晶圓(以下記為「晶圓」)W之AlN等的陶瓷所構成的基座2。此基座2是藉由從排氣室11的底部中央延伸至上方的圓筒狀之AlN等的陶瓷所構成的支撐構件3來支撐。在基座2的外緣部設有用以引導晶圓W的導環(guide ring)4。並且,在基座2中埋入電阻加熱型的加熱器5,此加熱器5是藉由自加熱器電源6給電來加熱基座2,以此熱來加熱被處理基板亦即晶圓W。此時,可從室溫到800℃的範圍控制溫度。另外,在反應室1的內周設有石英所構成的圓筒狀襯墊(liner)7。並且,在基座2的外周側,為了將反應室1內予以均一排氣,而環狀設置具有多數個排氣孔8a的石英製的遮擋板(baffle plate)8,此遮擋板8是藉由複數個支柱9所支撐。
在基座2中,供以支撐晶圓W而使昇降的晶圓支撐銷(未圖示)會被設成可突沒於基座2的表面。
在反應室1的側壁設有呈環狀的氣體導入構件15,均等地形成有氣體放射孔。在該氣體導入構件15連接有氣體供給系16。另外,氣體導入構件亦可配置成淋浴狀。此氣體供給系16是例如具有Ar氣體供給源17、O2 氣體供給源18、H2 氣體供給源19,該等氣體是分別經由氣體管路20來到達氣體導入構件15,由氣體導入構件15的氣體放射孔來均一地導入至反應室1內。分別在氣體管路20設有質量流控制器21及其前後的開閉閥22。另外,亦可取代Ar氣體,使用其他的稀有氣體、例如Kr、He、Ne、 Xe等的氣體,且如後述亦可不含稀有氣體。
在上述排氣室11的側面連接有排氣管23,在此排氣管23連接有含高速真空泵的排氣裝置24。然後,藉由使該排氣裝置24作動,反應室1內的氣體會被均一地排出至排氣室11的空間11a內,經由排氣管23來排氣。藉此可將反應室1內高速地減壓至所定的真空度、例如0.133Pa。
在反應室1的側壁設有:供以在和鄰接於電漿處理裝置100的搬送室(未圖示)之間進行晶圓W的搬出入之搬出入口25、及開閉該搬出入口25的閘閥26。
反應室1的上部是形成開口部,沿著此開口部的周緣部而突出設有環狀的支撐部27。並且,由電介質、例如石英或Al2 O3 等的陶瓷所構成,透過微波的透過板28會經由密封構件29來氣密地設置於該支撐部27。因此,反應室1內會被保持於氣密。
在透過板28的上方,以能夠和基座2對向的方式,設有圓板狀的平面天線構件31。此平面天線構件31是卡止於反應室1的側壁上端。平面天線構件31是例如對應於8英吋大小的晶圓W時,由直徑為300~400mm、厚度為1~數mm(例如5mm)的導電性材料所構成的圓板。具體而言,例如表面由鍍銀或金的銅板或鋁板所構成,多數的微波放射孔32(縫隙)會以所定的圖案貫通形成。微波放射孔32是例如圖4所示呈長形狀者會成對,典型的是成對的微波放射孔32彼此間會配置成「T」字狀,該等的對為 複數,配置成同心圓狀。微波放射孔32的長度或配列間隔是對應於微波的波長(λg)而定,例如微波放射孔32的間隔是配置成λg/4、λg/2或λg。另外,在圖4中,以△r來表示形成同心圓狀之隣接的微波放射孔32彼此間的間隔。又,微波放射孔32亦可為圓形狀、圓弧狀等的其他形狀。又,微波放射孔32的配置形態並無特別加以限定,除了同心圓狀以外,例如亦可配置成螺旋狀、放射狀。
在此平面天線構件31的上面設有具有比真空更大介電常數之例如由石英、或聚四氟乙烯(Poly-tetrafluoroethylene)、聚醯亞胺等的樹脂所構成之慢波材33。由於在真空中微波的波長會變長,因此該慢波材33是具有縮短微波的波長來調整電漿的機能。另外,在平面天線構件31與微波透過板28之間,以及在慢波材33與平面天線構件31之間,可分別密接或離開。
在反應室1的上面,以能夠覆蓋該等平面天線構件31及慢波材33的方式,設有例如由鋁或不鏽鋼,銅等的金屬材所構成的遮蔽蓋體34,在與平面天線31之間形成扁平的導波路。反應室1的上面與遮蔽蓋體34是藉由密封構件35來密封。在遮蔽蓋體34中形成有冷卻水流路34a,藉由使冷卻水通流於此,可冷卻遮蔽蓋體34、慢波材33、平面天線構件31、微波透過板28。並且,遮蔽蓋體34是被接地。
在遮蔽蓋體34的上壁中央形成有開口部36,在該開口部36連接有導波管37。在此導波管37的端部經由匹配 電路38來連接產生微波的微波產生裝置39。藉此,於微波產生裝置39產生之例如頻率2.45GHz的微波可經由導波管37來傳至上述平面天線構件31。微波的頻率亦可使用8.35GHz、1.98GHz等。
導波管37是具有:從上述遮蔽蓋體34的開口部36延出至上方的剖面圓形狀的同軸導波管37a、及在此同軸導波管37a的上端部經由模式變換器40來連接之延伸於水平方向的矩形導波管37b。在矩形導波管37b與同軸導波管37a之間的模式變換器40是具有將以TE模式來傳播於矩形導波管37b內的微波變換成TEM模式的機能。在同軸導波管37a的中心有內導體41延伸著,內導體41的下端部連接固定於平面天線構件31的中心。藉此,微波是經由同軸導波管37a的內導體41來放射狀效率佳均一地傳播至平面天線構件31。
並且,電漿處理裝置100的各構成部是形成藉由具備CPU的製程控制器50來進行控制的構成。在製程控制器50連接使用者介面51,該使用者介面部51是由鍵盤及顯示器等所構成,該鍵盤是供以操作者管理電漿處理裝置100而進行指令的輸入操作等,該顯示器是使電漿處理裝置100的操業狀況可視化而予以顯示者。
而且,在製程控制器50連接記憶部52,該記憶部52儲存有用以藉由製程控制器50的控制來實現在電漿處理裝置100所被執行的各種處理之控制程式(軟體)或處理條件資料等的處方(recipe)。
然後,因應所需,根據來自使用者介面部51的指示等,從記憶部52叫出任意的處方,而使執行於製程控制器50,在製程控制器50的控制下,進行電漿處理裝置100之所望的處理。並且,上述控制程式或處理條件資料等的處方,可利用儲存於電腦可讀取的記憶媒體、例如CD-ROM、硬碟、軟碟、快閃記憶體等的狀態者,或從其他的裝置,例如經由專用線路來隨時傳送,上線利用。
如此構成的電漿處理裝置100是即使在800℃以下的低溫,還是可藉由無損傷的電漿處理來形成良質的膜,且電漿均一性佳,可實現製程的均一性。
藉由如此構成的電漿處理裝置100來進行矽表面的氧化處理時,首先,打開閘閥26,由搬入出口25來將形成有初期圖案300的晶圓W搬入至反應室1內,載置於基座2上。
然後,從氣體供給系16的Ar氣體供給源17及O2 氣體供給源18,以所定的流量經由氣體導入構件15來將Ar氣體及O2 氣體導入反應室1內,維持於所定的處理壓力。此時的條件,由將電漿中的O(1 D2 )密度提高至1×1012 [cm-3 ]以上的觀點來看,處理氣體中的氧的比例為1%以下即可,例如0.2~1%為佳,0.5~1%更理想。藉由如此調節處理氣體中的氧的比例,可控制電漿中的氧離子或氧自由基的量。因此,即使在矽表面例如存在初期圖案300的凹凸,還是可調節到達凹部的深處的氧離子或氧自由基(oxygen radical)的量,因此可以均一的膜厚來形成氧 化矽膜。
處理氣體的流量是可由Ar氣體:500~10000mL/min、O2 氣體:5~100mL/min的範圍來選擇對全氣體流量之氧的比例為形成上述值。
又,除了來自Ar氣體供給源17及O2 氣體供給源18的Ar氣體及O2 氣體以外,還可從H2 氣體供給源19以所定比率導入H2 氣體。藉由供給H2 氣體,可使電漿氧化處理的氧化速率提升。此情況,最好H2 的比例是對處理氣體全體的量形成0.01~1%,更理想是0.2~1%,且以0.5~1%為佳。
又,反應室內處理壓力,由將電漿中的O(1 D2 )密度提高至1×1012 [cm-3 ]以上的觀點來看,例如最好為1.33~334Pa,更理想是90~133.3Pa。
又,處理溫度可由300~800℃的範圍來選擇,最好為400~600℃。
其次,將來自微波產生裝置39的微波經由匹配電路38來引導至導波管37。微波是依序通過矩形導波管37b、模式變換器40、及同軸導波管37a來供給至平面天線構件31,從平面天線構件31經過微波透過板28來放射至反應室1內的晶圓W的上方空間。微波是在矩形導波管37b內以TE模式傳搬,此TE模式的微波是以模式變換器40變換成TEM模式,而於同軸導波管37a內朝向平面天線構件31傳搬而去。此時,微波產生裝置39的功率最好為0.5~5kW。
藉由從平面天線構件31經微波透過板28而放射至反應室1的微波,在反應室1內形成電磁場,Ar氣體、O2 氣體等會電漿化,藉由該電漿來氧化形成於晶圓W的初期圖案300的凹凸表面所露出的矽。此微波電漿是藉由微波從平面天線構件31的多數個微波放射孔32放射,而形成大略1×1010 ~5×1012 [cm-3 ]或以上的高密度的電漿,其電子溫度是0.5~2eV程度,電漿密度的均一性是±5%以下。又,上述條件下生成的電漿是形成高能量的活性種之O(1 D2 )自由基的密度為1×1012 [cm-3 ]以上的電漿。因此,可在低溫且短時間下進行氧化處理而形成薄的氧化矽膜(SiO2 膜),且矽與氧化矽膜的界面(Si/SiO2 界面)的粗度會被壓低,可形成平滑化。又,當矽為單結晶矽時,不拘面方位的不同,可以均一的膜厚來形成氧化矽膜。又,當矽為多晶矽時,不會被結晶粒的形狀或大小等所左右,可以均一的膜厚來形成氧化矽膜。並且,不會被形成於晶圓W表面的圖案疏密所影響,可以均一的膜厚來形成氧化矽膜。
其次,說明有關在圖1的步驟S3的氧化膜除去處理所使用的裝置及處理方法。在氧化膜除去工程,並無特別加以限定,只要是可選擇性除去形成於矽表面的氧化矽膜即可,可適用上述(1)利用稀氟酸的溼蝕刻處理、(2)在氟酸蒸氣氣氛下的蒸氣蝕刻處理、(3)大氣壓電漿蝕刻處理、(4)使包含HF及NH3 的反應性氣體作用於氧化矽膜而使反應後,藉由加熱來除去反應生成物的COR處理、(5)使包 含H、N及NF3 的活性種作用於氧化矽膜而形成反應生成物後,藉由加熱來除去反應生成物的NOR處理。
利用上述(1)的稀氟酸之溼蝕刻處理是在處理容器內積蓄HF:H2 O=1:100程度的混合比的稀氟酸,在其中例如將形成有氧化矽膜的晶圓浸漬10~600秒、較理想是60~360秒程度,而使藉由化學蝕刻在不造成損傷下除去氧化矽膜。
在上述(2)的氟酸蒸氣氣氛下的蒸氣蝕刻處理是例如在具備排氣裝置的處理容器中,將形成有氧化矽膜的晶圓暴露於由20%以上100%以下的濃度的HF溶液所生成的氟酸蒸氣,3~600秒、較理想是3~300秒,而使藉由化學蝕刻在不造成損傷下除去氧化矽膜。
上述(3)的大氣壓電漿處理,例如可使用圖5A所示的大氣壓電漿蝕刻裝置101來實施。此大氣壓電漿蝕刻裝置101是具有被接地的大略圓筒狀的反應室60。在反應室60內設有用以將被處理基板的晶圓W支撐於水平的載置台62。此載置台62是藉由從反應室60的底部中央延伸至上方的圓筒狀的支撐構件64所支撐。支撐構件64是在反應室60的外部連結於馬達66,可在載置晶圓W的狀態下將載置台62旋轉於水平方向。並且,在載置台62經由支撐構件64的內部來導入冷媒,而使能夠調節被載置於載置台62的晶圓W的溫度。
在反應室60的上部設有微波導入室68。此微波導入室68是具有:作為設於上部的頂板之石英製的微波透過 板70、及配備成對向於該微波透過板70的電極72a,72b、及圓筒狀的側壁74。在微波透過板70的上方,經由未圖示的導波管來連接至微波產生裝置,例如可將2.45GHz的微波導入至微波導入室68內。
如圖5B所示,電極72a,72b是配備於離載置台62所定距離L1 的位置。並且,在電極72a與電極72b之間形成有間隙(gap)73。一旦被導入微波導入室68的微波到達此間隙73,則例如會生成5×1014 /cm3 的高密度的電漿P。間隙73,亦即電極72a與電極72b的間隔L2 是例如可設定成0.1mm程度。
在微波導入室68的側壁設有氣體導入構件75,可對微波導入室68內供給處理氣體。此氣體導入構件75會連接氣體供給系77。此氣體供給系77是例如具有NF3 氣體供給源78、He氣體供給源79,該等的氣體會分別經由氣體管路80至氣體導入構件75,從氣體導入構件75的氣體放射孔來均一地導入反應室1內。He氣體是在收容於氣泡槽82的H2 O內被氣泡化後,導入反應室內,氣體中的H2 O的流量可使用設於氣體管路80的露點計(未圖示)來計測。另外,亦可取代He,例如使用Ar、Kr、Xe等其他的稀有氣體。
在反應室60的側面連接有排氣管84,在該排氣管84設有閥85。並且,排氣管84是被連接至泵等的排氣裝置86。然後,藉由使該排氣裝置86作動,反應室1內的氣體會經由排氣管84來排氣。
而且,在微波導入室68的周圍設有熱交換器88,藉由使冷卻水流通於流路88a,可由外部冷卻微波導入室68。
在以上那樣的大氣壓電漿蝕刻裝置101,可將載置台62與電極72a,72b的距離L1 例如設定成5mm,使載置於載置台62的晶圓W所形成的氧化矽膜可藉由高密度的電漿P在不造成損傷的情況下選擇性地蝕刻除去。
使用大氣壓電漿蝕刻裝置101的蝕刻條件如以下般。處理氣體,例如可使用He、NF3 、H2 O(利用He氣泡)。可在處理氣體中添加H2 O而生成HF,使蝕刻速率提升。處理氣體的流量比,例如最好為He/NF3 /H2 O=8~16/30~250/180~400mL/min(sccm)。微波功率最好為500~1000W。反應室內處理壓力是101325Pa(760Torr),處理溫度是以20~100℃的範圍為佳。在如此的條件下實施大氣壓電漿蝕刻處理,可使形成於晶圓W的矽表面之氧化矽膜對下層的矽以高選擇比來蝕刻。另外,大氣壓電漿處理,除了上述以外的氣體,例如可使用包含Ar氣體、NF3 、NH3 或NF3 、N2 、H2 氣體的氣體系。
上述(4)的COR處理是在如圖6所示的COR處理裝置102內、使包含HF及NH3 的反應性氣體作用於氧化矽膜而反應後,藉由加熱來除去反應生成物。在圖6中,COR處理裝置102是具有:圓筒狀的反應室110、及配置於該反應室110內之晶圓W的載置台112、及配置於反應室110的上方之淋浴頭114、及排除反應室110內的氣體等 之排氣裝置116。載置台112是具有對內部施加直流電壓的電極板(未圖示),藉由庫倫力或約翰生拉別克(Johnsen-Rahbeck)力來吸附晶圓W而予以保持。
淋浴頭114是具有2層構造,具有第1緩衝室118及第2緩衝室120。第1緩衝室118及第2緩衝室120是分別經由氣體通氣孔122,124來連通至反應室110內。在對晶圓W實施COR處理時,NH3 (氨)氣體會從氨氣體供給管126來供給至第1緩衝室118,經由氣體通氣孔122來供給至反應室110內,且HF(氟化氫)氣體會從氟化氫氣體供給管128來供給至第2緩衝室120,經由氣體通氣孔124來供給至反應室110內。
COR處理條件如以下所示般。首先,在使反應性氣體與氧化矽膜反應的工程中,處理氣體例如可使用包含HF及NH3 的反應性氣體。處理氣體的流量比,例如最好為HF/NH3 =0.1~2,流量最好是HF為5~500mL/min(sccm)、NH3 為5~500mL/min(sccm)。此時的反應室內處理壓力最好是0.1~13.3Pa,更理想是0.06~6.67Pa。處理溫度是以30~500℃的範圍為佳,更理想是50~300℃。又,以調節HF氣體、NH3 氣體的分壓之目的,最好供給Ar氣體。亦可取代Ar氣體,使用N2 氣體、H2 氣體。
其次,在藉由加熱來去除反應生成物的工程中,例如以50~300℃、最好是100~200℃的溫度,30~360秒、最好是100~200秒的時間來加熱形成有反應生成物的晶圓W。
藉由在如此的條件下實施COR處理,可使氧化矽膜對下層的矽以高選擇比來除去。
上述(5)的NOR處理是在如圖7所示的NOR處理裝置103內,使包含H、N及NF3 的電漿作用於氧化矽膜而形成反應生成物後,藉由加熱來除去反應生成物。NOR處理裝置103是具有:藉由電漿來使N2 氣體及H2 氣體的混合氣體活性化之電漿形成管150、及對晶圓W進行用以除去自然氧化膜的處理之處理容器152。在此處理容器152的內部設有載置所被處理的晶圓W之載置台154。並且,在此處理容器152的底部的周緣部設有連接至未圖示的真空泵等的排氣裝置之排氣口156,使能夠將處理容器152內予以抽真空。並且,在載置台154的下方形成有照射口158,在此照射口158設有透過窗160。在透過窗160的下方設有複數的加熱燈162,由此加熱燈162放出的加熱用的光線可透過透過窗160經由載置台154來從背面側加熱晶圓W。
另一方面,電漿形成管150是在立起狀態下安裝於處理容器152的頂部。在此電漿形成管150的上端,設有導入由N2 氣體及H2 氣體所構成的電漿氣體至該管內的氣體導入部164,此氣體導入部164是N2 氣體源及H2 氣體源(皆未圖示)。為了安定生成電漿,亦可導入稀有氣體的Ar氣體、He氣體、Xe氣體、Kr氣體等的電離效果大的氣體。
並且,在上述氣體導入部164之下,設有電漿形成部 166。在此電漿形成部166,可將在產生2.45GHz的微波之微波產生源168所產的微波,經由導波管170來供給至矩形導波管172。然後,藉由該被供給的微波在電漿形成管150內生成H2 氣體及N2 氣體的混合氣體的電漿,可形成往晶圓W的垂直層流。在電漿形成管150的下端部的流出口174的正下方,設有連接至NF3 氣體供給源(未圖示)的淋浴頭176。
NOR處理條件是如以下所示般。在藉由包含H、N及NF3 的電漿來進行處理的工程中,首先,處理氣體是例如使用包含H2 及N2 的氣體來形成微波激勵電漿。此時的處理氣體的流量比,例如最好為H2 /N2 =0.1~1。流量是最好H2 為50~1500mL/min(sccm),N2 為50~1500mL/min(sccm)。對此電漿供給NF3 氣體。NF3 的流量最好為5~300mL/min(sccm)。
並且,反應室內壓力最好為266~1333Pa,更理想是400~933Pa。溫度最好為90~500℃的範圍。其他的條件,例如微波的頻率是2.45GHz,微波功率最好為200~1500W。如此使包含H、N、NF3 的活性種與氧化矽膜反應,形成反應生成物。
其次,在藉由加熱來除去反應生成物的工程中,例如以50~300℃、較理想100~200℃的溫度,30~360秒的時間來加熱形成有反應生成物的晶圓W。藉此反應生成物會昇華而除去。
在如此的條件下實施NOR處理,藉此可不對下層的 矽造成損傷,且對下層的矽以高選擇比來除去氧化矽膜。
以圖1的步驟S1~步驟S3的工程程序,在矽表面藉由初期圖案來形成微細的目的之2次圖案後,可因應所需,將該2次圖案的表面,例如藉由氧化處理、氮化處理、氮氧化處理來形成氧化矽膜(SiO2 膜)、氮氧化矽膜(SiON膜)、氮化矽膜(SiN)等,在電晶體等的半導體裝置中形成可作為閘極絕緣膜等利用的絕緣膜。此情況,例如氧化處理、氮化處理或氮氧化處理可利用與圖3同様構成的電漿處理裝置100來進行。又,例如亦可利用ICP電漿、表面反射波電漿、磁控管(magnetron)電漿等的電漿處理裝置來進行氧化處理、氮化處理或氮氧化處理。
其次,說明有關可在製造過程適用本發明的圖案形成方法之半導體裝置。本發明的圖案形成方法相較於以往的微影技術(Photolithography)的圖案形成,為更可微細加工的方法。因此,可適於利用在如製作鰭(fin)構造、雙閘極構造等的3次元構造的電晶體等時的圖案形成。如此的3次元構造的電晶體是隨著LSI的高集成化、高速化之設計規則的微細化,而作為取代以往的平面型的MOS電晶體者,受到注目。
圖8A是顯示3次元裝置的一例,鰭構造的MOSFET (Metal Oxide Semiconductor Field Effect Transistor)的概略構成例。此鰭構造的MOSFET200是在SiO2 膜等的下層膜201上設有鰭狀或凸狀的矽壁202。具有以能夠覆蓋此矽壁202的一部份之方式來形成閘極絕緣膜206,且隔著 該閘極絕緣膜206來形成閘極電極203的3次元構造。形成於矽壁202的表面之閘極絕緣膜206是頂部206a與兩側的壁面部206b,206c的3面會被閘極電極203所覆蓋,藉此形成3閘極構造的電晶體。將閘極電極203夾於其間而突出於其兩側的矽壁202是形成源極204與汲極205,藉由在該等源極.汲極間流動電流來構成電晶體。在3閘極構造時,可以3個的閘極來控制MOSFET的通道區域,因此相較於只以一個的閘極來控制通道區域的以往平面型MOSFET,抑止短通道效果的性能佳,可對應於32nm節點以下的微細化.高集成化。
如此構造的MOSFET200可如以下般製造。例如在SiO2 膜等的下層膜201上,例如藉由CVD等來形成矽層之後,使用藉由微影技術來形成圖案的光罩進行蝕刻,如圖8B所示形成矽壁202a。另外,在圖8B中是僅圖示一個的矽壁202a,但實際上是複數的矽壁202a會被並列形成,成為凹凸構造。
其次,對矽壁202a,重複圖1的步驟S2(表面氧化工程)、步驟S3(氧化膜除去工程)的程序,而微細地圖案化,形成具有所定的線寬之矽壁202。然後,例如氧化氣氛中熱處理形成有目的的2次圖案之矽壁202的表面,或使用O2 氣體電漿來電漿氧化處理,藉此形成閘極絕緣膜206的氧化矽膜。其次,以能夠覆蓋矽壁202的方式例如藉由CVD等來形成多晶矽層後,使用藉由微影技術來形成圖案的光罩進行蝕刻,形成多晶矽的閘極電極203,藉此取得 MOSFET200。
在製造如此的3次元構造的MOSFET200時,例如藉由利用圖1所示的步驟S1~步驟S3的程序之圖案形成方法,相較於只利用微影技術的圖案形成,可精度佳地形成更微細的圖案。
亦即,本實施形態的圖案形成方法是利用藉由圖3的電漿處理裝置100所形成的微波激勵高密度電漿,藉此可一面極力抑止電漿損傷,一面可不依存於矽的面方位[(100)面或(110)面]來形成均一的氧化矽膜。因此,藉由重複進行形成如此的氧化矽膜的表面氧化工程(步驟S2)、及除去此氧化矽膜的氧化膜除去工程(步驟S3),可高精度形成圖案。
其次,說明有關本發明的基礎之實驗結果。
利用電漿處理裝置100,以下記的條件A,在形成有凹部(溝(trench))的矽(單結晶)表面,形成8nm的膜厚之氧化矽膜(SiO2 膜)。另外,為了比較,藉由條件B的熱氧化方法,形成同様的膜厚之氧化矽膜。
<條件A>...自由基氧化處理
Ar流量:500mL/min(sccm)、
O2 流量:5mL/min(sccm)
H2 流量:5mL/min(sccm)
O2 氣體比率:約1%
處理壓力:133.3Pa(1Torr)
微波功率:2750W
處理溫度:400℃
處理時間:90秒
微波透過板28的面積:1027mm2
<條件B>...WVG熱氧化
處理溫度:950℃
處理時間:420秒
針對所取得的氧化矽膜,藉由AFM(原子間力顯微鏡;Atomic Force Microscopy)分析來測定SiO2 /Si界面的粗度(自乘平均平方根粗度;Rms )。將其結果顯示於圖9。
並且,測定矽的凹部的(100)面及形成於(110)面的氧化膜的膜厚,調查面方位依存性。將其結果顯示於圖10。
根據圖9可確認出,利用電漿處理裝置100來進行自由基氧化而取得的氧化矽膜與利用熱氧化的氧化矽膜相較之下,Rms 小,為0.12nm以下,SiO2 /Si界面更平滑。亦即,按照本發明,藉由自由基氧化來形成氧化矽膜之下,對於蝕刻後之0.2~1nm程度的表面粗度而言,可使表面粗度形成極小,0.12nm以下。並且,藉此可除去隨著圖案加工時的電漿蝕刻而導入的損傷。
又,根據圖10可確認出,使用電漿處理裝置100來進行自由基氧化而取得的氧化矽膜與利用熱氧化的氧化矽膜相較之下,(100)面與(110)面的膜厚差小,面方位依存 性少。亦即,不拘圖案的部位,可形成膜厚大略相同的共形(conformal)的氧化矽膜。
並且,觀察形成有氧化矽膜的凹部的角落(corner)的形狀。圖11A~11C是表示自由基氧化後的凹部的剖面形狀之掃描型電子顯微鏡(SEM)照片,圖11A是表示上部角落(肩部),圖11B是表示側壁部,圖11C是表示下部角落。又,圖12A~12C是表示熱氧化後的凹部的剖面形狀之掃描型電子顯微鏡(SEM)照片,圖12A是表示上部角落(肩部),圖12B是表示側壁部,圖12C是表示下部角落。如圖11A~11C所示,使用電漿處理裝置100來進行自由基氧化而取得的氧化矽膜與圖12A~12C之利用熱氧化的氧化矽膜相較之下,在凹部的角落(矽)導入圓弧的同時,無關面方位,形成均一的氧化矽膜。若角落為銳角,則在使用所被形成的氧化矽膜作為絕緣膜的半導體裝置中,容易從該部位發生洩漏電流,會有導致電氣特性降低之虞,但藉由如此使角落形成圓弧,可防止洩漏電流的發生。又,若依矽的面方位而膜厚相異,則會無法取得高的圖案精度,但藉由進行自由基氧化,可取得凹凸形成(尺寸)無不均一,高的圖案精度。
由以上圖9~圖12C的結果可確認出,使用電漿處理裝置100來進行自由基氧化,而形成氧化矽膜的優越性。因此,藉由使用電漿處理裝置100來實施表面氧化工程(步驟S2),可謀求SiO2 /Si界面的平滑化,面方位依存性的壓制,及角落的圓弧導入,對於半導體裝置的製造利用 價值高,凹凸形成(尺寸)無不均一,可為高精度且微細的圖案形成。
另外,本發明並非限於上述實施形態,亦可為各種的變形。例如在上述實施形態中是舉3次元構造的MOSFET的形成過程為例,作為可適用圖案形成方法的半導體裝置的製造例,但並非限於此,例如亦可適用於沿著凹凸圖案來進行高品質的氧化膜形成之必要性高的應用、或元件分離技術的STI(Shallow Trench Isolation)之溝內部的氧化膜形成或電晶體之多晶矽閘極電極的形成等。又,本發明的圖案形成方法並非只限於形成電晶體的多晶矽閘極電極時,亦可適用於形成金屬閘極電極時。又,基板並非限於矽晶圓,亦可適用於化合物半導體、液晶顯示裝置(LCD)基板、太陽電池面板等的其他基板的單結晶矽、多晶矽、或非晶形矽的圖案形成。
[產業上的利用可能性]
本發明是在各種半導體裝置的製造過程中,適合於對矽進行圖案形成時,特別是複雜的圖案形成時。因此,本發明適合於需要複雜的圖案形成之3次元構造的電晶體的製造。
1‧‧‧反應室
1a‧‧‧底壁
2‧‧‧基座
3‧‧‧支撐構件
4‧‧‧導環
5‧‧‧加熱器
6‧‧‧加熱器電源
7‧‧‧襯墊
8‧‧‧遮擋板
8a‧‧‧排氣孔
9‧‧‧支柱
10‧‧‧開口部
11‧‧‧排氣室
15‧‧‧氣體導入構件
16‧‧‧氣體供給系
17‧‧‧Ar氣體供給源
18‧‧‧O2 氣體供給源
19‧‧‧H2 氣體供給源
20‧‧‧氣體管路
21‧‧‧質量流控制器
22‧‧‧開閉閥
23‧‧‧排氣管
24‧‧‧排氣裝置
25‧‧‧搬入出口
26‧‧‧閘閥
27‧‧‧支撐部
28‧‧‧微波透過板
29‧‧‧密封構件
31‧‧‧平面天線構件
32‧‧‧微波放射孔
33‧‧‧慢波材
34‧‧‧遮蔽蓋體
34a‧‧‧冷卻水流路
35‧‧‧密封構件
36‧‧‧開口部
37‧‧‧導波管
37a‧‧‧同軸導波管
37b‧‧‧矩形導波管
38‧‧‧匹配電路
39‧‧‧微波產生裝置
40‧‧‧模式變換器
41‧‧‧內導體
50‧‧‧製程控制器
51‧‧‧使用者介面
52‧‧‧記憶部
60‧‧‧反應室
62‧‧‧載置台
64‧‧‧支撐構件
66‧‧‧馬達
68‧‧‧微波導入室
70‧‧‧微波透過板
72a,72b‧‧‧電極
73‧‧‧間隙
74‧‧‧側壁
75‧‧‧氣體導入構件
77‧‧‧氣體供給系
78‧‧‧NF3 氣體供給源
79‧‧‧He氣體供給源
80‧‧‧氣體管路
82‧‧‧氣泡槽
84‧‧‧排氣管
85‧‧‧閥
86‧‧‧排氣裝置
88‧‧‧熱交換器
88a‧‧‧流路
100‧‧‧電漿處理裝置
101‧‧‧大氣壓電漿蝕刻裝置
102‧‧‧COR處理裝置
103‧‧‧NOR處理裝置
110‧‧‧反應室
112‧‧‧載置台
114‧‧‧淋浴頭
116‧‧‧排氣裝置
118‧‧‧第1緩衝室
120‧‧‧第2緩衝室
122,124‧‧‧氣體通氣孔
126‧‧‧氨氣體供給管
128‧‧‧氟化氫氣體供給管
150‧‧‧電漿形成管
152‧‧‧處理容器
154‧‧‧載置台
156‧‧‧排氣口
158‧‧‧照射口
160‧‧‧透過窗
162‧‧‧加熱燈
164‧‧‧氣體導入部
166‧‧‧電漿形成部
168‧‧‧微波產生源
170‧‧‧導波管
172‧‧‧矩形導波管
174‧‧‧流出口
176‧‧‧淋浴頭
200‧‧‧MOSFET
201‧‧‧下層膜
202‧‧‧矽壁
203‧‧‧閘極電極
204‧‧‧源極
205‧‧‧汲極
206‧‧‧閘極絕緣膜
206a‧‧‧頂部
206b,206c‧‧‧壁面部
300‧‧‧初期圖案
301‧‧‧中間圖案
302‧‧‧2次圖案
310‧‧‧氧化矽膜
311‧‧‧氧化矽膜
W‧‧‧晶圓
w0 ‧‧‧第1線寬
w1 ‧‧‧中間線寬
圖1是表示本發明之一實施形態的圖案形成方法的流 程圖。
圖2A是用以說明藉由重複進行表面氧化工程及氧化膜除去工程來形成微細的圖案之原理的概略工程剖面圖。
圖2B是用以說明藉由重複進行表面氧化工程及氧化膜除去工程來形成微細的圖案之原理的概略工程剖面圖。
圖2C是用以說明藉由重複進行表面氧化工程及氧化膜除去工程來形成微細的圖案之原理的概略工程剖面圖。
圖2D是用以說明藉由重複進行表面氧化工程及氧化膜除去工程來形成微細的圖案之原理的概略工程剖面圖。
圖2E是用以說明藉由重複進行表面氧化工程及氧化膜除去工程來形成微細的圖案之原理的概略工程剖面圖。
圖3是表示在表面氧化工程中氧化晶圓W上的矽表面而形成氧化矽膜的電漿氧化處理所適合的電漿處理裝置之一例的概略剖面圖。
圖4是表示圖3的電漿處理裝置所具備的平面天線構件的構造圖。
圖5A是表示可利用於氧化膜除去工程的大氣壓電漿蝕刻裝置之一例的概略剖面圖。
圖5B是擴大顯示包含圖5A的大氣壓電漿蝕刻裝置的電極的部份之剖面圖。
圖6是表示可利用於氧化膜除去工程的COR處理裝置的概略構成的圖面。
圖7是表示可利用於氧化膜除去工程的NOR處理裝置的概略構成的圖面。
圖8A是3次元MOSFET的外觀立體圖。
圖8B是表示3次元MOSFET的鰭構造的立體圖。
圖9是表示在自由基氧化及熱氧化下比較SiO2 /Si界面的凹凸面的結果。
圖10是表示在自由基氧化及熱氧化下比較矽的面方位與SiO2 膜的膜厚的關係。
圖11A是表示自由基氧化後的凹部的上部角落(肩部)的剖面之掃描型電子顯微鏡照片。
圖11B是表示自由基氧化後的凹部的側壁部的剖面之掃描型電子顯微鏡照片。
圖11C是表示自由基氧化後的凹部的下部角落的剖面之掃描型電子顯微鏡照片。
圖12A是表示熱氧化後的凹部的上部角落(肩部)的剖面之掃描型電子顯微鏡照片。
圖12B是表示熱氧化後的凹部的側壁部的剖面之掃描型電子顯微鏡照片。
圖12C是表示熱氧化後的凹部的下部角落的剖面之掃描型電子顯微鏡照片。

Claims (16)

  1. 一種圖案形成方法,其特徵係包含:準備具有形成初期圖案的矽之被處理體,該初期圖案具有第1線寬;在電漿處理裝置的處理室內電漿氧化處理上述矽表面,於上述初期圖案的表面形成氧化矽膜;及除去上述氧化矽膜,並且,藉由重複進行上述氧化矽膜的形成及上述氧化矽膜的除去,在被處理體上形成具有比上述第1線寬更微細的第2線寬之目的的圖案,形成上述氧化矽膜時的電漿氧化處理係生成O(1 D2 )自由基的密度為1×1012 [cm-3 ]以上的電漿,藉由該電漿來氧化處理上述矽表面。
  2. 如申請專利範圍第1項之圖案形成方法,其中,上述氧化矽膜的除去係藉由使用稀氟酸的溼蝕刻處理來進行。
  3. 如申請專利範圍第1項之圖案形成方法,其中,上述氧化矽膜的除去係藉由在氟酸蒸氣氣氛下的蒸氣蝕刻處理來進行。
  4. 如申請專利範圍第1項之圖案形成方法,其中,上述氧化矽膜的除去係藉由大氣壓電漿蝕刻處理來進行。
  5. 如申請專利範圍第1項之圖案形成方法,其中,上述氧化矽膜的除去係在與上述處理室同一或別的處理室內使包含HF及NH3 的反應性氣體作用於上述氧化矽膜而形 成反應生成物後,加熱被處理體來去除該反應生成物。
  6. 如申請專利範圍第1項之圖案形成方法,其中,上述氧化矽膜的除去係藉由形成包含H及N的氣體的電漿來形成包含H及N的活性種,將該活性種導入與上述處理室同一或別的處理室內,且對該處理室內至少導入NF3 氣體,而藉由上述活性種來使NF3 氣體活性化,使包含該等H、N及NF3 的活性種作用於上述氧化矽膜而形成反應生成物後,加熱被處理體來去除該反應生成物。
  7. 如申請專利範圍第1項之圖案形成方法,其中,上述第2線寬為20nm以下。
  8. 如申請專利範圍第1項之圖案形成方法,其中,將上述矽表面予以電漿氧化處理而形成的上述氧化矽膜的膜厚係每1次為3~15nm。
  9. 如申請專利範圍第1項之圖案形成方法,其中,形成上述氧化矽膜時的電漿氧化處理係藉由不拘矽的面方位可形成均一的氧化矽膜之電漿氧化處理方法來進行。
  10. 如申請專利範圍第1項之圖案形成方法,其中,形成上述氧化矽膜時的電漿氧化處理裝置係藉由具有複數的縫隙之平面天線來對上述處理室內導入微波而形成微波激勵電漿之電漿處理裝置。
  11. 如申請專利範圍第1項之圖案形成方法,其中,形成上述氧化矽膜時的電漿氧化處理係將處理壓力設為1.33~334Pa的範圍來進行。
  12. 如申請專利範圍第1項之圖案形成方法,其中,形成上述氧化矽膜時的電漿氧化處理係將處理氣體中的氧的比例設為0.2~1%的範圍來進行。
  13. 如申請專利範圍第12項之圖案形成方法,其中,上述處理氣體係以0.01~1%的比例含氫。
  14. 如申請專利範圍第1項之圖案形成方法,其中,形成上述氧化矽膜時的電漿氧化處理係將處理溫度設為400~600℃的範圍來進行。
  15. 一種半導體裝置的製造方法,其特徵係包含:圖案形成處理,其係包含:在電漿處理裝置的處理室內電漿氧化處理具有形成初期圖案(具有第1線寬)的矽之被處理體的上述矽表面,在上述初期圖案的表面形成氧化矽膜、及除去上述氧化矽膜,且藉由重複進行上述氧化矽膜的形成及上述氧化矽膜的除去,在被處理體上形成具有比上述第1線寬更微細的第2線寬之目的的圖案;及氧化矽膜形成處理,其係在上述電漿處理裝置的處理室內電漿氧化形成有上述目的的圖案之被處理體的表面,形成氧化矽膜,形成上述氧化矽膜時的電漿氧化處理係生成O(1 D2 )自由基的密度為1×1012 [cm-3 ]以上的電漿,藉由該電漿來氧化處理上述矽表面。
  16. 如申請專利範圍第15項之半導體裝置的製造方法,其中,上述半導體裝置為3次元構造裝置。
TW096149752A 2006-12-25 2007-12-24 A pattern forming method and a method of manufacturing the semiconductor device TWI453793B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006347710A JP5229711B2 (ja) 2006-12-25 2006-12-25 パターン形成方法、および半導体装置の製造方法

Publications (2)

Publication Number Publication Date
TW200839846A TW200839846A (en) 2008-10-01
TWI453793B true TWI453793B (zh) 2014-09-21

Family

ID=39562429

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096149752A TWI453793B (zh) 2006-12-25 2007-12-24 A pattern forming method and a method of manufacturing the semiconductor device

Country Status (5)

Country Link
US (1) US8119530B2 (zh)
JP (1) JP5229711B2 (zh)
KR (1) KR101399765B1 (zh)
TW (1) TWI453793B (zh)
WO (1) WO2008078637A1 (zh)

Families Citing this family (155)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4421618B2 (ja) * 2007-01-17 2010-02-24 東京エレクトロン株式会社 フィン型電界効果トランジスタの製造方法
US8298949B2 (en) * 2009-01-07 2012-10-30 Lam Research Corporation Profile and CD uniformity control by plasma oxidation treatment
WO2010105585A1 (de) * 2009-03-17 2010-09-23 Roth & Rau Ag Substratbearbeitungsanlage und substratbearbeitungsverfahren
JP4968861B2 (ja) 2009-03-19 2012-07-04 東京エレクトロン株式会社 基板のエッチング方法及びシステム
US7943530B2 (en) * 2009-04-03 2011-05-17 International Business Machines Corporation Semiconductor nanowires having mobility-optimized orientations
KR101377240B1 (ko) * 2009-06-26 2014-03-20 가부시키가이샤 사무코 실리콘 웨이퍼의 세정 방법 및, 그 세정 방법을 이용한 에피택셜 웨이퍼의 제조 방법
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP2011097029A (ja) * 2009-09-30 2011-05-12 Tokyo Electron Ltd 半導体装置の製造方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR101145334B1 (ko) * 2010-05-31 2012-05-14 에스케이하이닉스 주식회사 반도체 장치 제조방법
JP2012124227A (ja) * 2010-12-06 2012-06-28 Tokyo Electron Ltd 基板洗浄方法及び基板処理装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR101494995B1 (ko) * 2011-02-08 2015-02-23 가부시키가이샤 알박 라디칼 에칭 장치 및 방법
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR20130039963A (ko) * 2011-10-13 2013-04-23 주식회사 테스 기판처리시스템 및 이를 이용한 기판처리방법
JP2013131587A (ja) * 2011-12-21 2013-07-04 Hitachi High-Technologies Corp プラズマ処理方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR101401455B1 (ko) * 2012-10-17 2014-05-30 피에스케이 주식회사 기판 처리 방법
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP6086862B2 (ja) * 2013-08-30 2017-03-01 東京エレクトロン株式会社 酸化シリコンから構成された領域を選択的に除去する方法及びプラズマ処理装置
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9406675B1 (en) * 2015-03-16 2016-08-02 Taiwan Semiconductor Manufacturing Company Ltd. FinFET structure and method of manufacturing the same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6466315B2 (ja) * 2015-12-25 2019-02-06 東京エレクトロン株式会社 基板処理方法及び基板処理システム
KR101874822B1 (ko) * 2016-04-01 2018-07-06 주식회사 테스 실리콘산화막의 선택적 식각 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9773662B1 (en) * 2016-06-03 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a fine structure
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
JP2017201321A (ja) * 2017-06-29 2017-11-09 日本電子材料株式会社 プローブカード用ガイド板およびプローブカード用ガイド板の製造方法
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7113681B2 (ja) * 2018-06-28 2022-08-05 株式会社日立ハイテク エッチング処理方法およびエッチング処理装置
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US20210358761A1 (en) * 2018-09-26 2021-11-18 Tokyo Electron Limited Etching method, method of removing etching residue, and storage medium
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7349699B2 (ja) * 2019-06-10 2023-09-25 国立大学法人東北大学 半導体集積回路用のシリコンピラーの作製方法
US11373875B2 (en) * 2019-06-21 2022-06-28 Hitachi High-Tech Corporation Plasma processing method
JP7414593B2 (ja) * 2020-03-10 2024-01-16 東京エレクトロン株式会社 基板処理方法及び基板処理装置
CN113394074A (zh) * 2020-03-11 2021-09-14 长鑫存储技术有限公司 半导体结构的处理方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200540976A (en) * 2004-01-14 2005-12-16 Tokyo Electron Ltd Method of controlling trimming of a gate electrode structure
TW200636861A (en) * 2005-01-07 2006-10-16 Tokyo Electron Ltd Plasma treatment method

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
JPH0818054A (ja) * 1994-04-28 1996-01-19 Nippondenso Co Ltd 半導体装置及びその製造方法
JP4124543B2 (ja) 1998-11-11 2008-07-23 東京エレクトロン株式会社 表面処理方法及びその装置
JP4334225B2 (ja) * 2001-01-25 2009-09-30 東京エレクトロン株式会社 電子デバイス材料の製造方法
TWI235433B (en) * 2002-07-17 2005-07-01 Tokyo Electron Ltd Oxide film forming method, oxide film forming apparatus and electronic device material
WO2004049406A1 (en) * 2002-11-25 2004-06-10 International Business Machines Corporation Strained finfet cmos device structures
US7388259B2 (en) 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
JP2004266249A (ja) 2003-02-10 2004-09-24 Nec Electronics Corp 半導体装置の製造方法
JP4694108B2 (ja) * 2003-05-23 2011-06-08 東京エレクトロン株式会社 酸化膜形成方法、酸化膜形成装置および電子デバイス材料
JP2004356472A (ja) * 2003-05-30 2004-12-16 Renesas Technology Corp 半導体装置及びその製造方法
US20050221513A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method of controlling trimming of a gate electrode structure
TW200620471A (en) * 2004-08-31 2006-06-16 Tokyo Electron Ltd Silicon oxide film forming method, semiconductor device manufacturing method and computer storage medium
CN101156233B (zh) * 2005-03-31 2010-12-08 东京毅力科创株式会社 氧化硅膜的制造方法和等离子体处理装置
KR100972862B1 (ko) * 2008-04-07 2010-07-28 주식회사 하이닉스반도체 불휘발성 메모리 소자의 형성방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200540976A (en) * 2004-01-14 2005-12-16 Tokyo Electron Ltd Method of controlling trimming of a gate electrode structure
TW200636861A (en) * 2005-01-07 2006-10-16 Tokyo Electron Ltd Plasma treatment method

Also Published As

Publication number Publication date
KR20090096472A (ko) 2009-09-10
WO2008078637A1 (ja) 2008-07-03
KR101399765B1 (ko) 2014-05-27
US8119530B2 (en) 2012-02-21
JP5229711B2 (ja) 2013-07-03
US20100093179A1 (en) 2010-04-15
JP2008159892A (ja) 2008-07-10
TW200839846A (en) 2008-10-01

Similar Documents

Publication Publication Date Title
TWI453793B (zh) A pattern forming method and a method of manufacturing the semiconductor device
TWI492297B (zh) 電漿蝕刻方法、半導體裝置之製造方法、及電漿蝕刻裝置
TWI390072B (zh) Formation method of silicon oxide film
JP4633729B2 (ja) 半導体装置の製造方法およびプラズマ酸化処理方法
JP5138261B2 (ja) シリコン酸化膜の形成方法、プラズマ処理装置および記憶媒体
TWI402912B (zh) Manufacturing method of insulating film and manufacturing method of semiconductor device
JP5231233B2 (ja) プラズマ酸化処理方法、プラズマ処理装置、及び、記憶媒体
JP5089121B2 (ja) シリコン酸化膜の形成方法およびプラズマ処理装置
JP4906659B2 (ja) シリコン酸化膜の形成方法
WO2006025363A1 (ja) シリコン酸化膜の形成方法、半導体装置の製造方法およびコンピュータ記憶媒体
JP5231232B2 (ja) プラズマ酸化処理方法、プラズマ処理装置、及び、記憶媒体
WO2010038887A1 (ja) 二酸化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
JP6424249B2 (ja) シリコン及びゲルマニウムを含む基板におけるシリコンの優先的酸化のための方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees