TWI443757B - 製造應變源/汲極結構的方法 - Google Patents

製造應變源/汲極結構的方法 Download PDF

Info

Publication number
TWI443757B
TWI443757B TW100116706A TW100116706A TWI443757B TW I443757 B TWI443757 B TW I443757B TW 100116706 A TW100116706 A TW 100116706A TW 100116706 A TW100116706 A TW 100116706A TW I443757 B TWI443757 B TW I443757B
Authority
TW
Taiwan
Prior art keywords
substrate
source
drain
recess
region
Prior art date
Application number
TW100116706A
Other languages
English (en)
Other versions
TW201232667A (en
Inventor
Chunfeng Nieh
Minghuan Tsai
Weihan Fan
Yimin Huang
Chunfai Cheng
Hanting Tsai
Chiiiming Wu
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201232667A publication Critical patent/TW201232667A/zh
Application granted granted Critical
Publication of TWI443757B publication Critical patent/TWI443757B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66492Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a pocket or a lightly doped drain selectively formed at the side of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Description

製造應變源/汲極結構的方法 交叉參考
本發明有關於以下共同受讓的美國專利申請案,美國12/816,519號申請“具有阱控制近面的積體電路元件及其製造方法”(律師文件編號TSMC2010-0247),此文件整體揭露書的內容皆以引用方式併入本文。
本發明有關於積體電路元件及製造積體電路元件的方法。
半導體積體電路(IC)工業已經經歷了快速的發展。在IC發展的過程中,當幾何面積(即利用一種製作方法可以產生的最小構成(或線))減小時,功能密度(即每一晶片區域上連接元件的數目)普遍增加。一般地,這種尺寸縮小的製程藉由提高的生產效率和降低伴隨的成本以提供益處。此尺寸縮小也增加了處理和製造積體電路的複雜性,為了實現這些進步,在積體電路上需要相應的發展。例如,當半導體元件,如金屬氧化物半導體場效應電晶體(MOSFET),通過各種技術節點縮小尺寸,可利用磊晶半導體材料去實現應變源/汲極結構(例如,應力區)來增強載流子遷移率和改善元件性能。對於形成一個n型元件而言,常藉由實施磊晶成長矽以形成抬升式源極和汲極結構而形成一個有應力區的半導體場效應電晶體(MOSFET),對於形成一個p型元件而言,常藉由實施磊晶成長矽化鍺以形成抬升式源極和汲極結構而形成一個有應力區的半導體場效應電晶體(MOSFET)。對於指向這些源極和汲極結構的形狀、結構和材料的各種技術已經實施以去嘗試進一步完善電晶體元件的性能。儘管一般而言現有的作法對現有的目的已經足夠,但是它們在所有方面還沒有令人完全滿意。
因此,本發明提供了一種方法,對積體電路元件的近面和尖端深度提供改善的控制。該方法包括:提供一半導體基底;形成一閘極結構於該基底上;實施具有一第一雜質和一第一劑量的一第一植入製程於該基底上,以在基底內形成輕摻雜源極和汲極(LDD)區域,該閘極結構分斷該輕摻雜源極和汲極(LDD)區域;實施具有一第二雜質和一第二劑量的一第二植入製程於該基底上,以在該基底內形成摻雜區域,該第二雜質與該該第一雜質電性相反,該摻雜區域實質上與該閘極結構相鄰的該輕摻雜源極和汲極(LDD)側壁區域的側壁對準;形成間隙壁於該閘極結構;去除該閘極結構的兩側的部分基底,以在該基底內形成一凹陷,該凹陷定義基底的源極和汲極區域;以及磊晶成長半導體材料填充該凹陷,以形成源極和汲極結構。
依據本發明一實施例,在第二植入製程之後對該基底進行一個退火製程。
依據本發明實施例,退火製程在溫度範圍約900~1100℃的一氮環境下進行。
依據本發明實施例,第二劑量與第一劑量實質上相同,從而在基底內形成一實質上電中和區域。
依據本發明實施例,第二植入製程是傾斜度約30~60度範圍之間的一傾斜度離子植入製程。
依據本發明實施例,在基底內為源極區域和汲極區域形成一凹陷以定義源極和汲極區域,包括:,在基底的一{111}晶體學平面內蝕刻一第一和第二平面及在基底的一{100}晶體學平面內蝕刻一第三平面。
依據本發明實施例,該方法更包括在第二植入製程之前,在基底和閘極結構上方形成一保護層。
依據本發明實施例,在基底內形成凹陷以定義源極和汲極,包括蝕刻基底以至於基底的一頂面從閘極結構的側壁至凹陷延伸一段距離,距離為約1~5奈米。
依據本發明實施例,在基底內形成凹陷以定義源極和汲極,包括蝕刻基底以使基底的一頂面與第一和第二平面相交處的一段距離為約5~10奈米。
依據本發明實施例,在形成n型元件時,該磊晶成長半導體材料包括磊晶矽,在形成p型元件時,該磊晶成長半導體材料包括磊晶矽化鍺。
應用該發明可以提供一近面上方的良好控制和一積體電路元件的尖端深度,使元件具有良好性能。
為實現本發明的各種結構,以下揭露提供許多不同的實施方式或實施例,對此是可以理解的。下面描述特定實施例的構成和排列以簡化本揭露。當然,這些僅僅是實施例,並不意味著局限於此。例如,以下描述中,在第二個結構上面或者之上形成第一個結構可以包括在直接接觸中形成第一個和第二個結構的實施方式,也可以包括在第一個和第二個結構之間形成另外結構的實施方式,這樣第一個和第二個結構可以不直接接觸。另外,在各個實施例中本揭露也許重複涉及數字及/或字母。這種重複目的是為了簡單和清楚,本身並不規定所討論的各種實施方式/或結構的關係。
參照第1圖和第2-10圖,方法100和半導體元件200如下面一起描述。半導體元件200闡明一個積體電路或部分,其中可以包括記憶體單元和/或邏輯電路。半導體元件200可以包括被動組成部分,如電阻、電容、電感和/或保險絲;和主動組成部分,如p通道場效應電晶體(PFETs)、n通道場效應電晶體(NFETs)、金屬氧化物半導體場效應電晶體(MOSFET)、互補金屬氧化物半導體電晶體(CMOSs)、高電壓電晶體和/或高頻電晶體、其他合適的組成部分和/或它們的組合。在方法100之前、之中及/或之後提供另外的步驟與該方法另外的實施方式可以替代或排除以下描述的一些步驟,對此是可以理解的。半導體元件200中可以增加另外的結構與半導體元件200另外的實施方式可以替代和排除以下描述的一些結構,對此是進一步可以理解的。
參照第1圖和第2圖,方法100從步驟102開始,其中提供基底210。本實施方式中,基底210是一種含矽半導體基底。作為選擇地,基底210包括一種含晶體矽及/或鍺的初級半導體;一種含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或砷化銦銻的化合物半導體;一種含鍺化矽、磷砷化鎵、砷銦化鋁、砷鎵化鋁、砷銦化鎵、磷銦化鎵及/或鎵銦砷磷的合金半導體;或它們的組合。合金半導體基底可以有鍺化矽梯度結構,其中矽和鍺的成分從一個位置上的一種比例變化到鍺化矽梯度結構另一個位置上的另一種比例。可以在一個矽基底上形成鍺化矽合金。鍺化矽合金基底可以是應變的。而且,該半導體基底可以是位於絕緣層上半導體(SOI)的一基底。在一些實施例中,該半導體基底可以包括一個摻雜磊晶層。在另一些實施例中,該矽基底可以包括一個多層複合半導體結構。
根據設計需要(如p型阱或n型阱),基底210可以包括不同的摻雜區域。摻雜區域可以摻雜p型雜質,如硼或二氟化硼;n型雜質,如磷或砷或它們的組合。摻雜區域可以直接形成在基底210內、在一p型阱結構內、在一n型阱結構內、在一個雙型阱結構內,或運用一個抬升式結構。半導體元件200包括基底210的一n通道場效應電晶體(NFET)元件區域212和一p通道場效應電晶體(PFET)元件區域214,因此,基底210可以包括不同的摻雜區域來設置在每個n通道場效應電晶體(NFET)元件區域212和p通道場效應電晶體(PFET)元件區域214內一個特定元件。為n通道場效應電晶體(NFET)元件區域212設置的閘極結構220和為P通道場效應電晶體(PFET)元件區域214設置的閘極結構221分別在N通道場效應電晶體(NFET)元件區域212和P通道場效應電晶體(PFET)元件區域214的上方形成。在一些實施方式中,閘極結構220和閘極結構221依序包括閘極介電層222、閘極極224和硬罩幕226。閘極結構220和221可以通過本領域內眾所周知的沉積、微影圖案化和蝕刻製程形成。
閘極介電層222在基底210上方形成,並包括一種介電材料,如氧化矽、氮氧化矽、氮化矽,高介電係數介電材料,其他合適的介電材料,或者它們的組合。範例高介電係數介電材料包括氧化鉿、氧矽鉿、氮氧矽鉿、氧鉭鉿、氧鈦鉿、氧鋯鉿,其他合適的材料,或者它們的組合。閘極介電層222可以是一個多層結構,例如,包括一介面層和在該介面層上形成的一個高介電係數介電材料層。範例的介面層可以是通過熱製程或原子層沉積(ALD)製程形成的一個成長氧化矽層。
閘極224在閘極介電層222上方形成。在一些實施方式中,閘極224是一個多結晶矽(多晶矽)層。為了適當的導電性可以摻雜該多晶矽層。可選擇地,如果要形成一個虛擬閘極或者在後來的閘極替代方法中將虛擬閘極替代,不一定必須摻雜多晶矽。可選擇地,閘極224可以包括一個具有適當功函數導電層。因此,也可以將閘極224作為一個功函數層來參考。該功函數層224包括任何合適的材料,這樣為了提高相關元件的性能可以調整該層使其具有適當功函數。例如,如果為了p通道場效應電晶體(PFET)元件需要一個p型功函數金屬(p金屬),可以使用氮化鈦或者氮化鉭。另一方面,如果為了n通道場效應電晶體(NFET)元件需要一個n型功函數金屬(n金屬),可以使用鉭、鋁化鈦、氮鋁化鈦或者氮碳化鉭。該功函數層可以包括其他導電材料,如鋁、銅、鎢、金屬化合物、金屬矽化物、其他合適的材料,或者它們的組合。例如,閘極224包括一個功函數層,可以在這個功函數層上方形成另一導電層。
硬罩幕226在閘極224上方形成,包括氧化矽、氮化矽、氮氧化矽、碳化矽、其他合適的介電材料,或者它們的混合物。硬罩幕226可以具有一個多層結構。
在基底210內形成一個隔離結構216以隔離基底210的各個區域,如n通道場效應電晶體(NFET)與p通道場效應電晶體(PFET)元件區域212、214。該隔離結構216利用隔離技術,如局部氧化矽(LOCOS)和/或淺溝槽隔離(STI),以限制和電性隔離各個區域。隔離結構216包括氧化矽、氮化矽、氮氧化矽、其他合適的材料,或者它們的組合。可以通過任何適當方法形成隔離結構216。舉個實施例,形成一個淺溝槽隔離(STI)包括在基底上蝕刻一個溝槽,以一種或者多種介電材料填充該溝槽及利用化學機械拋光(CMP)製程形成一個平面。
參照第1圖和第3圖,方法100以步驟104繼續,步驟104中在由閘極結構220調整的n通道場效應電晶體(NFET)元件區域212內形成輕摻雜源/汲極(LDD)區域228;在由閘極結構221調整的p通道場效應電晶體(PFET)元件區域214內形成輕摻雜源/汲極(LDD)區域230。輕摻雜源/汲極(LDD)區域228、230實質上分別與閘極結構220、221的側壁對準。可以利用離子植入製程、擴散製程、其他合適的製程,或它們的結合形成輕摻雜源/汲極(LDD)區域228、230。在n通道場效應電晶體(NFET)元件區域212內形成輕摻雜源/汲極(LDD)區域228的過程中要保護p通道場效應電晶體(PFET)元件區域214,在P通道場效應電晶體(PFET)元件區域214內形成輕摻雜源/汲極(LDD)區域230的過程中要保護n通道場效應電晶體(NFET)元件區域212。在一些實施方式中,用一種n型雜質,如磷或者砷摻雜,以形成n通道場效應電晶體(NFET)元件的輕摻雜源/汲極區域228(NLDD),用一種p型雜質,如硼或者氟化硼摻雜,以形成p通道場效應電晶體(PFET)元件的輕摻雜源/汲極區域230(PLDD)。
參照第1圖和第4圖,方法100以步驟106繼續,其間在基底210上方可以形成保護層232。在一個實施方式中,保護層232是一個介電層。在另一個實施方式中,保護層232是氧化物材料,如氧化矽或者氮氧化矽;或氮化物材料,如氮化矽。在一些實施方式中,保護層232的厚度範圍大約在10埃至100埃之間。仍然參照第1圖和第4圖,方法100以步驟108繼續,其中藉由給基底210提供一個植入製程236及在n通道場效應電晶體(PFET)元件區域212上方覆蓋一個光阻圖案(或者硬罩幕圖案)以在p通道場效應電晶體(PFET)元件區域214的輕摻雜源/汲極區域230(LDD)內形成摻雜結構230A。在一個實施方式中,在輕摻雜源/汲極區域230的上面沉積摻雜結構230A。在另一個實施方式中,沉積摻雜結構230A從輕摻雜源/汲極區域230(LDD)的頂面延伸至輕摻雜源/汲極區域230(LDD)內達一深度d1,d1的範圍約10埃至100埃。
在一些實施方式中,植入製程236在摻雜結構230A中引入一種與形成輕摻雜源/汲極區域230(LDD)的雜質相反,並且實質上與形成輕摻雜源/汲極區域230(LDD)劑量相同的雜質,隨後形成一個具有電中性特徵的摻雜結構230A。在該實施方式中,因為輕摻雜源/汲極區域230(LDD)摻雜p型雜質,所以摻雜結構230A摻雜n型雜質,如磷或砷。在植入製程236時,保護層232作為一罩幕,以控制摻雜結構230A位於輕摻雜源/汲極區域230(LDD)之頂面部分。在一些實施方式中,以約30度到60度範圍內的傾斜度實施植入製程236以形成摻雜結構230A,此摻雜結構230A與相鄰於閘極結構221的輕摻雜源/汲極區域230(LDD)的側壁實質上對準。因為摻雜結構230A和剩餘的輕摻雜源/汲極區域230(LDD)其中不同的摻雜元素,在接下來的蝕刻製程中兩者有不同的蝕刻率。例如,具有p型雜質(如硼)的剩餘的輕摻雜源/汲極區域230(LDD)可以減緩蝕刻製程。另一方面,含有p型雜質(如硼)和相反雜質(如砷)的摻雜結構230A可以形成一電中性區域並且可以防止蝕刻製程中的減緩現象。因此,摻雜結構230A的蝕刻率大於剩餘的輕摻雜源/汲極區域230(LDD)的蝕刻率。
隨後利用例如光阻去除製程將圖案光阻層234去除。在一個實施方式中,在去除製程之後,提供基底210一個退火製程以修復在植入製程236中受損的基底210的晶體結構。退火製程在氮環境下約900~1100℃的溫度範圍內進行。
參照第1圖和第5圖,方法100以步驟110繼續,其中,可以通過與上面提到的製程相似的植入製程在n通道場效應電晶體(NFET)元件區域212的輕摻雜源/汲極區域228(LDD)內形成摻雜結構228A。在植入製程中p通道場效應電晶體(PFET)元件區域214被一光阻圖案或硬罩幕圖案(沒有顯示)覆蓋。在一個實施方式中,摻雜結構228A位於輕摻雜源/汲極區域228(LDD)的頂部。在另一個實施方式中,摻雜結構228A從輕摻雜源/汲極區域228(LDD)內輕摻雜源/汲極區域228(LDD)的頂面延伸至一個範圍約10埃至100埃的深度d2。在一些實施方式中,植入製程在摻雜結構228A中引入一種與形成輕摻雜源/汲極區域228(LDD)的雜質相反的雜質,並且劑量實質上與形成輕摻雜源/汲極區域228(LDD)的劑量相同,隨後形成一具有電中性特徵的摻雜結構228A。在該的實施方式中,因為輕摻雜源/汲極區域228(LDD)摻雜n-型雜質,摻雜結構228A摻雜p型雜質,例如硼。在植入製程時,保護層232作為一個罩幕,以控制摻雜結構228A位於輕摻雜源/汲極區域228(LDD)的頂面部分。在一些實施中,以約30~60度範圍內的傾斜度實施植入製程以形成摻雜結構228A,此摻雜結構228A與相鄰於閘極結構220的輕摻雜源/汲極區域228(LDD)的側壁實質上對準。在接下來的蝕刻製程中因為其中摻雜不同的元素,摻雜結構228A和剩餘的輕摻雜源/汲極區域228(LDD)可以有不同的蝕刻率。例如,因為摻雜結構228A含有那些p型雜質(如硼),所以具有n型雜質(如砷)的其餘輕摻雜源/汲極區域228(LDD)蝕刻率大於摻雜結構228A的蝕刻率。因此,摻雜結構228A的蝕刻率小於剩餘的輕摻雜源/汲極區域228(LDD)的蝕刻率。
隨後利用例如光阻或乾式蝕刻製程去除圖案光阻層或硬罩幕層。在一個實施方式中,去除或乾式蝕刻製程之後,可以為基底210提供一退火製程以修復在植入製程236中受損之基底210的晶體結構。退火製程在溫度範圍約900~1100℃之間的一氮環境下的進行。
仍然參照第1圖和第5圖,方法100以步驟112繼續,其間形成閘極結構220和221的間隙壁。在該實施方式中,藉由適當的方法形成內襯240和間隙壁242。例如,毯覆沉積一介電層,例如氮化矽層於半導體元件200上方,包括保護層232的上方;然後,非均向地蝕刻介電層和保護層232去除部分介電層以形成間隙壁242,並去除部分保護層232形成第5圖所示的內襯240。內襯240和間隙壁242緊鄰地閘極結構220和221的側壁(閘極介電層222、閘極224和硬罩幕226)而設置。可選擇地,間隙壁242包括另一種介電材料,如氧化矽、氮氧化矽,或者它們的組合。內襯240也可以包括另一種適當的介電材料。
在第6A、6B圖和第7圖中,進行源/汲極工程以設置一n通道場效應電晶體(NFET)元件的n通道場效應電晶體(NFET)元件區域212之源/汲極區域並設置一p通道場效應電晶體(PFET)元件的p通道場效應電晶體(PFET)元件區域214之源/汲極區域。參照第1圖和第6A圖,方法100以步驟114繼續,去除位於n通道場效應電晶體(NFET)元件區域212內的閘極結構220兩側的部分基底210,特別是位於n通道場效應電晶體(NFET)元件的源/汲極區域內的。該實施例中,在半導體元件200的上方形成第一帽蓋層244、第二帽蓋層246和光阻層248,然後圖案化這些膜層以在n通道場效應電晶體(NFET)元件區域212製程時保護p通道場效應電晶體(PFET)元件。在一個實施例中,第一帽蓋層244可以包括一種氧化物材料,第二帽蓋層246可以包括一種氮化物材料。第一和第二帽蓋層244和246可以包括本領域中眾所周知的其他合適的材料。光阻層248可以包括一抗反射塗層,如一底部抗反射塗層(BARC)及/或頂部抗反射塗層(TARC)。圖案化的層244、246和248可以藉由微影製程形成。一範例的微影製程可以包括光阻塗布、軟烤、罩幕對準、曝光、曝光後烘烤、顯影和硬烤製程步驟。該微影製程也可以藉由其他合適的技術實現或替代,如無罩幕微影、電子束成象、離子束成象和分子印跡。
然後一蝕刻製程移去部分基底210以在基底210上形成凹陷250。凹陷250在n通道場效應電晶體(NFET)元件區域212內n通道場效應電晶體(NFET)元件的源/汲極區域內形成。蝕刻製程包括一乾式蝕刻製程、濕式蝕刻製程或者它們的結合。該實施例中,蝕刻製程藉由一乾式與濕式蝕刻的結合。乾式和濕式蝕刻製程具有可調整的蝕刻參數,如使用的蝕刻劑、蝕刻溫度、蝕刻液濃度、蝕刻壓力、源功率、射頻偏壓、射頻偏置功率、蝕刻劑流速和其他適當的參數。在一個實施例中,乾式蝕刻製程可以藉由約0~200mT的蝕刻壓力、約200~2000W的源極功率、約0~100V的射頻偏壓及蝕刻劑,包括三氟化氮、氯氣、六氟化硫、氦氣、氬氣、四氟化碳或者它們混合物。在另一個實施例中,乾式蝕刻製程包括約0~200mT的蝕刻壓力、約200~2000W的源極功率、約0~100V的射頻偏壓、約5~30標準毫升/分鐘的三氟化氮氣體流量、約0~100標準毫升/分鐘的氯氣氣體流量、約0~500標準毫升/分鐘的氦氣氣體流量及約0~500標準毫升/分鐘的氬氣氣體流量。在另一個實施例中,乾式蝕刻製程包括約1~200mT的蝕刻壓力、約200~2000W的源極功率、0~100V的射頻偏壓、約5~30標準毫升/分鐘的六氟化硫氣體流量、0~100標準毫升/分鐘的氯氣氣體流量、約0~500標準毫升/分鐘的氦氣氣體流量及約0~500標準毫升/分鐘的氬氣氣體流量。濕式蝕刻溶液可以包括氫氧化銨、氟化氫(氫氟酸)、四甲基氫氧化銨(TMAH)、其他合適的濕式蝕刻溶液,或者它們的組合。在一個實施例中,濕式蝕刻製程首先在室溫下實施濃度比為100:1的氟化氫溶液,然後在約20~60 o C溫度下實施氫氧化銨溶液。在另一個實施例中,濕式蝕刻製程首先在室溫下實施濃度比為100:1的氟化氫溶液,然後在約20~60 o C溫度下實施四甲基氫氧化銨(TMAH)溶液。在蝕刻製程之後,可以用氟化氫(氫氟酸)或者其他合適的溶液進行一預清洗製程。
凹陷250的蝕刻輪廓提高了半導體200的性能。在第6B圖中,放大半導體200的n通道場效應電晶體(NFET)元件區域212以更好地理解凹陷250的蝕刻輪廓。凹陷250的蝕刻輪廓定義n通道場效應電晶體(NFET)元件的源極與汲極區域,藉由基底210的平面251A、251B、251C、251D、251E及251F定義該凹陷的蝕刻輪廓。可以稱平面251A、251B、251D和251E淺接面,稱平面251C和251F底面。在該實施例中,藉由基底210的{111}晶體平面內的平面251A、251B、251D及251E,與基底210的{100}晶體平面內的平面251C及251F定義凹陷250的蝕刻輪廓。凹陷250的蝕刻輪廓定義實質上位於閘極結構220周圍的摻雜結構228A之垂直側壁與底面相交處的一尖端A。凹陷250的蝕刻輪廓進一步定義一近面和一尖端深度(或高度)。該近面定義基底210的頂面從閘極結構(即閘極棧,包括閘極介電層222、閘極224和一硬罩幕226)的側壁延伸至凹陷250(或者當凹陷被填滿時,一源極和汲極結構)的距離。在此一實施例中揭示的凹陷250的蝕刻輪廓具有一約1~5奈米的近面。尖端深度定義基底210頂面與平面251A和251B(或者平面251D和251E相交處)相交處之間的一距離。在一個實施方式中,凹陷250的蝕刻輪廓獲得約為摻雜結構228A之深度d2的一末端深度。在另一個實施例中,凹陷250的蝕刻輪廓具有約10~100埃的一尖端深度。
參照第1和第7圖,方法100以步驟116繼續,其間在凹陷250內形成一半導體材料以在n通道場效應電晶體(NFET)元件區域212中形成一應變結構。該半導體材料在凹陷250內形成源極和汲極結構252。該源極和汲極結構252可以選擇性地稱為抬升式源極和汲極(Raised Source and Drain)結構。在本實施例中,進行一磊晶或磊晶的(epi)製程以在凹陷250內形成該半導體材料。該磊晶製程可以包括選擇性磊晶製程(SEG)、化學氣相沉積(CVD)技術(如氣相磊晶(VPE)及/或超高真空化學氣相沉積(UHV-CVD))、分子束磊晶(MBE)、其他合適的磊晶製程,或者它們的結合。該磊晶製程可以使用氣態及/或液態前驅物,前驅物可以與基底210的組成作用。在本實施例中,在磊晶製程之前去除保護p通道場效應電晶體(PFET)元件區域214的圖案光阻層248。另外,在本實施例中,源極和汲極結構252包括磊晶成長矽(epi Si)。與閘極結構220相關的n通道場效應電晶體(NFET)元件之磊晶成長矽源極與汲極結構252在磊晶製程時可以原位摻雜或者不摻雜。例如,磊晶成長矽源極和汲極結構252可以摻雜磷以形成矽磷源極和汲極結構。當沒有摻雜源極和汲極結構時,可以理解的是在後面的製程中可以對它們進行摻雜。該雜質可以藉由離子植入製程、電漿浸沒離子植入製程(PIII)、氣態及/或固態源擴散製程、其他合適的製程,或者它們的結合獲得。可以進一步對源極和汲極結構252進行退火製程,如一快速熱退火製程。隨後,藉由一合適方法去除圖案帽蓋層246和244。
在第8A、8B和第9圖中,形成源/汲極(S/D)結構於p通道場效應電晶體(PFET)元件區域214內。參照第1和第8A圖,方法100以步驟118繼續,其中去除p通道場效應電晶體(PFET)元件區域214內閘極結構221每一側的部分基底210,尤其是p通道場效應電晶體(PFET)元件的源極和汲極區域內在本實施例中,第一帽蓋層254、第二帽蓋層256和光阻層258形成覆蓋於半導體元件200上,並經圖案化以在p通道場效應電晶體(PFET)元件區域214的製程時保護n通道場效應電晶體(NFET)元件區域212。第一帽蓋層254可以包括一氧化物材料,第二帽蓋層256可以包括一氮化物材料。第一和第二帽蓋層254和256可以包括其他合適的材料。光阻層258可以包括一抗反射層,如一底部抗反射塗(BARC)層及/或頂部抗反射塗(TARC)層。可以藉由微影製程形成圖案層254、256和258。一磊晶微影製程可以包括光阻塗布、軟烤、罩幕對準、曝光、曝光後烘烤、顯影和硬烤製程步驟。該微影製程也可以藉由其他合適的技術實施或替代,如無罩幕微影、電子束成象、離子束成象和分子印跡。
然後一蝕刻製程移除部分基底210以在基底210內形成凹陷260。該凹陷260形成於p通道場效應電晶體(PFET)元件區域214的p通道場效應電晶體(PFET)元件的源極和汲極區域內。蝕刻製程包括一乾式蝕刻製程、濕式蝕刻製程,或者它們的結合。在本實施例中,蝕刻製程藉由一乾式和濕式蝕刻的結合。該乾式和濕式蝕刻製程具有可調整的蝕刻參數,如使用的蝕刻劑、蝕刻溫度、蝕刻液濃度、蝕刻壓力、源功率、射頻偏壓、射頻偏置功率、蝕刻劑流速和其他適當的參數。在一個實施例中,乾式蝕刻製程可以藉由約1~200mT的蝕刻壓力、約200~2000W的源功率、約0~100V的射頻偏壓及蝕刻劑,包括三氟化氮、氯氣、六氟化硫、氦氣、氬氣、四氟化碳,或者它們混合物。在一實施例中,乾式蝕刻製程包括約1~200mT的蝕刻壓力、約200~2000W的源功率、約0~100V的射頻偏壓、約5~30標準毫升/分鐘的三氟化氮氣體流量、約0~100標準毫升/分鐘的氯氣氣體流量、約0~500標準毫升/分鐘的氦氣氣體流量及約0~500標準毫升/分鐘的氬氣氣體流量。在另一實施例中,蝕刻製程包括約1~200mT的蝕刻壓力、約200~2000W的源功率、約0~100V的射頻偏壓、約5~30標準毫升/分鐘的六氟化硫氣體流量、約0~100標準毫升/分鐘的氯氣氣體流量、約0~500標準毫升/分鐘的氦氣氣體流量及約0~500標準毫升/分鐘的氬氣氣體流量。然而在另一實施例中,蝕刻製程包括約1~200mT的蝕刻壓力、約200~2000W的源功率、約0~100V的射頻偏壓、約5~100標準毫升/分鐘的四氟化碳氣體流量、一約0~100標準毫升/分鐘的氯氣氣體流量、約0~500標準毫升/分鐘的氦氣氣體流量及一約0~500標準毫升/分鐘的氬氣氣體流量。濕式蝕刻溶液可以包括氫氧化銨、氟化氫(氫氟酸)、TMAH(四甲基氫氧化銨)、其他合適的濕式蝕刻溶液,或者它們的混合物。在一實施例中,濕式蝕刻製程首先在室溫下實施濃度比為100:1的氟化氫溶液,然後在約20~60 o C溫度下實現氫氧化銨溶液,(例如,以形成{111}平面)。在另一實施例中,濕式蝕刻製程首先在室溫下實施濃度比為100:1的氟化氫溶液,然後在約20~60 o C溫度下實施TMAH(四甲基氫氧化銨)溶液(例如,以形成一{111}平面)。在蝕刻製程之後,可以藉由氟化氫(氫氟酸)或者其他合適的溶液進行一預清洗製程。
凹陷260的蝕刻輪廓提高了半導體200的性能。在第8B圖中,放大半導體200的p通道場效應電晶體(PFET)元件區域214以更好地理解凹陷260的蝕刻輪廓。凹陷260的蝕刻輪廓定義p通道場效應電晶體(PFET)元件的源極與汲極區域,藉由基底210的平面261A、261B、261C、261D、261E及261F定義該凹陷的蝕刻輪廓。可以稱平面261A、261B、261D和261E淺接面,稱平面261C和261F底面。在該實施例中,藉由基底210的{111}晶體平面內的平面261A、261B、261D及261E,與基底210的{100}晶體平面內的平面261C及261F定義凹陷260的蝕刻輪廓。凹陷260的蝕刻輪廓定義實質上位於閘極結構221周圍的摻雜結構230A之垂直側壁與底面相交處的一尖端B。凹陷260的蝕刻輪廓進一步定義一近面和一尖端深度(或高度)。該近面定義基底210的頂面從閘極結構(即閘極棧,包括閘極介電層222、閘極224和一硬罩幕226)的側壁延伸至凹陷260(或者當凹陷被填滿時,一源極和汲極結構)的距離。在此一實施例中揭示的凹陷260的蝕刻輪廓具有一約1~5奈米的近面。尖端深度定義基底210頂面與平面261A和261B(或者平面261D和261E相交處)相交處之間的一距離。在一個實施方式中,凹陷260的蝕刻輪廓獲得約為摻雜結構230A之深度d1的一末端深度。在另一個實施例中,凹陷260的蝕刻輪廓具有約10~100埃的一尖端深度。
此處描述地凹陷250和260的蝕刻輪廓藉由方法100獲得,它們改善了元件性能。一般而言,提升半導體元件200的性能會伴隨其他方面劣化的情形發生。例如,傳統的製程減少近面以提高飽和電流,該飽和電流通常導致一較大的尖端高度,因此引起短通道效應的增加及減緩的積體電路元件的開/關速度。據此,需要在凹槽250和260的蝕刻輪廓上精確的控制,特別是在近面的結果與源極和漏極區域的尖端形狀上精確的控制。該揭露的方法100提供該所需的控制,而得到如參照第6A、6B、8A及8B圖所描述的凹槽250和260的蝕刻輪廓。如上所述,額外的摻雜製程的實施所行程的摻雜結構228A降低了用來形成凹槽250的蝕刻製程對基底210的蝕刻率,進而減小該近面,並提高飽和電流;並且額外的摻雜製程的實施所行程的摻雜結構230A增加了用來形成凹槽260的蝕刻製程對基底210的蝕刻率,而產生較小的尖端高度,以降低短通道效並增加積體電路元件的開/關速度。
參照第1和第9圖,該方法100以步驟120繼續,其中於凹陷260內沉積半導體材料以在p通道場效應電晶體(PFET)元件區域214內形成一應變結構。在本實施例中,進行一磊晶或磊晶的(epi)製程以沉積該半導體材料於凹陷260內。該磊晶製程可以包括一選擇性磊晶製程、化學氣相沉積(CVD)技術(如氣相磊晶(VPE)及/或超高真空化學氣相沉積(UHV-CVD))、分子束磊晶(MBE)、其他合適的磊晶製程,或者它們的結合。該磊晶製程可以使用氣態及/或液態前驅物,前驅物可以與基底210的組成作用。沉積的半導體材料與基底210不同。據此,p通道場效應電晶體(PFET)元件的通道區域的應變或伸抵致能了元件的載流子的移動性並提高元件的性能。本實施例中,在磊晶製程前去除保護n通道場效應電晶體(NFET)元件區域212的圖案化光阻層258。另外,在本實施例中,藉由磊晶製程沉積矽鍺(SiGe)於基底210的凹陷260內以形成矽基底210上呈晶體狀態的矽鍺源極和汲極結構262。可以選擇性地稱該源極和汲極結構262為抬升式源極和汲極結構。與閘極結構221相關的p通道場效應電晶體(PFET)元件之磊晶成長矽源極與汲極結構262在磊晶製程時可以原位摻雜或者不摻雜。當源極和汲極結構沒有摻雜時,可以理解在後面的製程中可以對其摻雜。該雜質可以藉由離子植入製程、電漿浸沒植入製程(PIII)、氣態及/或固態源擴散製程、其他合適的製程,或者它們的結合獲得。可以進一步對源極和汲極結構262進行退火製程,如一快速熱退火製程。
隨後,藉由如第10圖所示,以一合適方法去除圖案帽蓋層254和256。繼續處理半導體元件200完成製造如下簡要討論。例如,n通道場效應電晶體(NFET)元件區域212內n通道場效應電晶體(NFET)元件的高摻雜濃度源/汲極(HDD)區域可以藉由n型雜質的離子植入,如磷或砷,來形成,以及p通道場效應電晶體(PFET)元件區域212內p通道場效應電晶體(PFET)元件的高摻雜濃度源/汲極(HDD)區域可以藉由p型雜質離子植入,如硼,來形成。可以理解形成n通道場效應電晶體(NFET)和p通道場效應電晶體(PFET)元件區域212和214的高摻雜濃度源/汲極(HDD)區域可以早於在本實施例中所述階段。此外,例如在抬升式源/汲極結構上形成金屬矽化物結構以用來降低接觸電阻。藉由一製程在源極和汲極區域形成金屬矽化物結構,該製程包括沉積一金屬層、回火該金屬層以使金屬層可以與矽作用形成金屬矽化物,然後去除未作用的金屬層。
在基底之上形成一內層介電(ILD)層,以及化學機械拋光(CMP)製程進一步應用至基底以平坦化基底。更進一步,在形成內層介電(ILD)層前可以在閘極結構220和221的頂部形成一接觸蝕刻終止層(CESL)。在一實施例中,閘極224保留多晶矽在最終元件中。在其他實施例中,在閘極最後或閘極取代製程中,移除多晶矽並以一金屬替代。在閘極最後製程中,在內層介電(ILD)層上繼續化學機械拋光(CMP)製程以暴露出閘極結構的多晶矽,並且進行一蝕刻製程以去除多晶矽,因而形成溝渠。以一合適的功函數金屬(如p型功函數金屬和n型功函數金屬)填充溝槽以成為p通道場效應電晶體(PFET)元件和n通道場效應電晶體(NFET)元件。
在基底210上方形成包括金屬層和內金屬介電層(IMD)的多層內連線(MLI)以電性連接半導體元件200的各種結構或者構造。多層內連線包括垂直內連線,如傳統的介層窗或接觸,與水平內連線,如金屬線。各種內連線結構可以不同的導電材料實施,導電材料包括銅、鎢和矽。一個實施例中,藉由鑲嵌製程形成銅多層內連線結構。
總之,本發明的方法100對於半導體元件200的近面和尖端深度的控制提供控制改善。該控制的改善是藉由在形成輕摻雜源極和汲極區域後,進行額外的植入以在元件的源極和汲極區域中形成摻雜區域來達到。藉由植入與用來形成輕摻雜源極和汲極區域的雜質類型電性相反的一雜質類型於基底形成摻雜區域。已經觀測到本發明方法和積體電路元件產生改善的元件性能,包括但不限於,在短通道效應上方的改善控制、增加的飽和電流、冶金閘極長度的改善控制、增加的載流子遷移率及源/汲極和矽結構之間減小的接觸電阻。不同的實施例可以具有不同的優點並且每個實施方式不必須具有特定優點,對此是能夠理解的。
前面概述的幾個實施方式的結構使本領域的那些技術人員可以更好地理解本揭露的各個方面。本領域的那些技術人員應該理解,他們可以容易地藉由本發明作為一基礎以設計和修改其他制程及結構,以實現與此處介紹的實施方式相同之目的及/或獲得相同之優點。本領域的那些技術人員同樣應該瞭解該相同構造不能偏離於本發明的精神和範圍,並且此處在不偏離於本發明的精神和範圍的情況下,他們可以作各種改變、替換與更改。
100...方法
102...步驟
104...步驟
106...步驟
108...步驟
110...步驟
112...步驟
114...步驟
116...步驟
118...步驟
120...步驟
122...步驟
200...半導體元件
210...基底
212...n通道場效應電晶體(NFET)元件區域
214...p通道場效應電晶體(PFET)元件區域
216...隔離結構
220...閘極結構
221...閘極結構
222...閘極介電層
224...閘極
226...硬罩幕
228...輕摻雜源/汲極(LDD)區域
230...輕摻雜源/汲極(LDD)區域
228A...摻雜結構
230A...摻雜結構
232...保護層
234...圖案光阻層
236...植入製程
240...內襯
d1...深度
d2...深度
242...間隙壁
244...圖案層
246...圖案層
248...圖案層
250...凹陷
251A...平面
251B...平面
251C...平面
251D...平面
251E...平面
251F...平面
A...末端
100...晶體平面
111...晶體平面
252...汲極結構
254...第一帽蓋層
256...第二帽蓋層
258...光致光阻層
261A...平面
261B...平面
261C...平面
261D...平面
261E...平面
261F...平面
B...末端
262...源極和汲極結構
結合附圖從以下的詳細描述可以最好地理解本發明。需要強調的是,依據工業上的實踐標準,各種特徵並非按比例繪製,僅用來作說明目的。實際上,為了清楚地討論可以任意增加或減少各種結構的尺寸。
第1圖是依據本發明的一實施方式製作積體電路元件的方法流程圖。
第2-10圖是依據第1圖的方法在不同製作階段積體電路元件的一實施方式的各個剖面圖。
100...方法
102...步驟
104...步驟
106...步驟
108...步驟
110...步驟
112...步驟
114...步驟
116...步驟
118...步驟
120...步驟
122...步驟

Claims (10)

  1. 一種應變源/汲極結構的製造方法,包括:提供一半導體基底;形成一閘極結構於該基底上;實施具有一第一雜質和一第一劑量的一第一植入製程於該基底上,以在該基底內形成輕摻雜源極和汲極(LDD)區域,該閘極結構分斷該輕摻雜源極和汲極(LDD)區域;實施具有一第二雜質和一第二劑量的一第二植入製程於該基底上,以在該基底內形成摻雜區域,該第二雜質與該第一雜質電性相反,該摻雜區域實質上與該閘極結構相鄰的該輕摻雜源極和汲極(LDD)的側壁對準;形成間隙壁於該閘極結構;去除該閘極結構的兩側的部分該摻雜區域,以在該基底內形成一凹陷,該凹陷定義該基底的源極和汲極區域;以及磊晶成長半導體材料填充該凹陷,以形成源極和汲極結構,且未被去除的該摻雜區域位於至少部分的該源極和汲極結構之上。
  2. 如請求項1所述的方法,更包括:在該第二植入製程之後對該基底進行一退火製程。
  3. 如請求項2所述的方法,其中該退火製程在氮環境下約900~1100℃的溫度範圍內進行。
  4. 如請求項1所述的方法,其中該第二劑量與該第一劑量實質上相同,因此在該基底內形成實質上電中和區域。
  5. 如請求項1所述的方法,其中該第二植入製程是傾斜度約30~60度範圍之間的一離子植入製程。
  6. 如請求項1所述的方法,其中形成該凹陷於該基底內以定義該源極和汲極區域,包括:蝕刻該基底,以形成{111}晶體平面的第一和第二平面及{100}晶體平面的第三平面,以形成該源極區域和該汲極區域的部分淺接面與底面。
  7. 如請求項1所述的方法,更包括在該第二植入製程之前,形成一保護層於該基底和該閘極結構上方。
  8. 如請求項1所述的方法,其中形成該凹陷於該基底內以定義源極和汲極,包括:蝕刻該基底使該基底的頂面從該閘極結構的側壁延伸至該凹陷一段距離,該距離為約1~5奈米。
  9. 如請求項6所述的方法,其中形成該凹陷於該基底內以定義源極和汲極,包括:蝕刻該基底使該基底的頂面與該第一和第二平面相交處的距離為約1~10奈米。
  10. 如請求項1所述的方法,其中形成該凹陷的步驟藉由一乾式蝕刻製程實現。
TW100116706A 2010-10-27 2011-05-12 製造應變源/汲極結構的方法 TWI443757B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/913,041 US8569139B2 (en) 2010-10-27 2010-10-27 Method of manufacturing strained source/drain structures

Publications (2)

Publication Number Publication Date
TW201232667A TW201232667A (en) 2012-08-01
TWI443757B true TWI443757B (zh) 2014-07-01

Family

ID=45997214

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100116706A TWI443757B (zh) 2010-10-27 2011-05-12 製造應變源/汲極結構的方法

Country Status (3)

Country Link
US (3) US8569139B2 (zh)
CN (1) CN102456628B (zh)
TW (1) TWI443757B (zh)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010029532B4 (de) * 2010-05-31 2012-01-26 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Transistor mit eingebettetem verformungsinduzierenden Material, das in diamantförmigen Aussparungen auf der Grundlage einer Voramorphisierung hergestellt ist
US8236659B2 (en) 2010-06-16 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Source and drain feature profile for improving device performance and method of manufacturing same
US8216906B2 (en) 2010-06-30 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing integrated circuit device with well controlled surface proximity
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8569139B2 (en) 2010-10-27 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained source/drain structures
US9847225B2 (en) * 2011-11-15 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US10163724B2 (en) * 2012-03-01 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit device and method of manufacturing same
CN103545202B (zh) * 2012-07-10 2016-02-17 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及其形成方法
US8546203B1 (en) * 2012-07-17 2013-10-01 International Business Machines Corporation Semiconductor structure having NFET extension last implants
US8673699B2 (en) * 2012-07-17 2014-03-18 International Business Machines Corporation Semiconductor structure having NFET extension last implants
US9589803B2 (en) * 2012-08-10 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Gate electrode of field effect transistor
US9029208B2 (en) * 2012-11-30 2015-05-12 International Business Machines Corporation Semiconductor device with replacement metal gate and method for selective deposition of material for replacement metal gate
US9627512B2 (en) * 2014-08-13 2017-04-18 Taiwan Semiconductor Manufacturing Company Ltd. Field effect transistor with non-doped channel
US10084060B2 (en) * 2014-08-15 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method of the same
US20160056261A1 (en) * 2014-08-22 2016-02-25 Globalfoundries Inc. Embedded sigma-shaped semiconductor alloys formed in transistors
US20170141228A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor and manufacturing method thereof
US9704872B1 (en) * 2016-01-07 2017-07-11 Micron Technology, Inc. Memory device and fabricating method thereof
US10062693B2 (en) 2016-02-24 2018-08-28 International Business Machines Corporation Patterned gate dielectrics for III-V-based CMOS circuits
US10593600B2 (en) * 2016-02-24 2020-03-17 International Business Machines Corporation Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap
US9716165B1 (en) 2016-06-21 2017-07-25 United Microelectronics Corporation Field-effect transistor and method of making the same
US10510886B2 (en) 2017-10-26 2019-12-17 Samsung Electronics Co., Ltd. Method of providing reacted metal source-drain stressors for tensile channel stress
KR102606237B1 (ko) * 2018-02-09 2023-11-24 삼성전자주식회사 모스 트랜지스터를 포함하는 집적 회로 반도체 소자
US10763363B2 (en) * 2018-04-10 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gradient doped region of recessed fin forming a FinFET device
CN112201624A (zh) * 2020-09-21 2021-01-08 上海华力集成电路制造有限公司 半导体器件的用于形成嵌入式外延层的凹槽的形成方法
JP7464554B2 (ja) * 2021-03-12 2024-04-09 株式会社東芝 高周波トランジスタ
US11658074B2 (en) * 2021-04-08 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with source/drain modulation
US20220359752A1 (en) * 2021-05-07 2022-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Source/Drain Features With Improved Strain Properties

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5413945A (en) * 1994-08-12 1995-05-09 United Micro Electronics Corporation Blanket N-LDD implantation for sub-micron MOS device manufacturing
US5650340A (en) * 1994-08-18 1997-07-22 Sun Microsystems, Inc. Method of making asymmetric low power MOS devices
US6306712B1 (en) 1997-12-05 2001-10-23 Texas Instruments Incorporated Sidewall process and method of implantation for improved CMOS with benefit of low CGD, improved doping profiles, and insensitivity to chemical processing
JP3164076B2 (ja) 1998-08-28 2001-05-08 日本電気株式会社 半導体装置の製造方法
US6214679B1 (en) 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
US6585841B1 (en) 2000-08-15 2003-07-01 Kimberly-Clark Worldwide, Inc. Method of optimizing spacing between elastic members in applying leg elastics
JP2003033201A (ja) 2001-07-25 2003-02-04 Sumitomo Rubber Ind Ltd アウトソール及びその製造方法
JP4205400B2 (ja) 2002-11-12 2009-01-07 ユニ・チャーム株式会社 連続生産する使い捨て着用物品に弾性部材を取り付ける方法およびそのための装置
JP4088619B2 (ja) 2004-01-28 2008-05-21 シャープ株式会社 アクティブマトリクス基板及び表示装置
JP4837902B2 (ja) * 2004-06-24 2011-12-14 富士通セミコンダクター株式会社 半導体装置
JP4698208B2 (ja) 2004-11-18 2011-06-08 花王株式会社 使い捨ておむつの製造方法
US7195985B2 (en) 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US7078285B1 (en) * 2005-01-21 2006-07-18 Sony Corporation SiGe nickel barrier structure employed in a CMOS device to prevent excess diffusion of nickel used in the silicide material
US7148097B2 (en) 2005-03-07 2006-12-12 Texas Instruments Incorporated Integrated circuit containing polysilicon gate transistors and fully silicidized metal gate transistors
US7494858B2 (en) 2005-06-30 2009-02-24 Intel Corporation Transistor with improved tip profile and method of manufacture thereof
CN101281871B (zh) * 2007-04-05 2011-11-09 联华电子股份有限公司 复合硬掩模层、金属氧化物半导体晶体管及其制作方法
US8236659B2 (en) 2010-06-16 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Source and drain feature profile for improving device performance and method of manufacturing same
US8501570B2 (en) 2010-10-20 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing source/drain structures
US8569139B2 (en) 2010-10-27 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained source/drain structures
US8999794B2 (en) 2011-07-14 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned source and drain structures and method of manufacturing same

Also Published As

Publication number Publication date
US9048253B2 (en) 2015-06-02
US8569139B2 (en) 2013-10-29
CN102456628B (zh) 2014-04-30
US20150262886A1 (en) 2015-09-17
CN102456628A (zh) 2012-05-16
TW201232667A (en) 2012-08-01
US20120108026A1 (en) 2012-05-03
US9698057B2 (en) 2017-07-04
US20140024188A1 (en) 2014-01-23

Similar Documents

Publication Publication Date Title
TWI443757B (zh) 製造應變源/汲極結構的方法
US20220352346A1 (en) Method of forming devices with strained source/drain structures
US8482079B2 (en) Semiconductor device and method of manufacturing the same
US8900960B2 (en) Integrated circuit device with well controlled surface proximity and method of manufacturing same
US8648446B2 (en) Method for protecting a gate structure during contact formation
US8445940B2 (en) Source and drain feature profile for improving device performance
CN102637728B (zh) 制造应变源极/漏极结构的方法
US20200027970A1 (en) FinFETs having Epitaxial Capping Layer on Fin and Methods for Forming the Same
US8659089B2 (en) Nitrogen passivation of source and drain recesses
KR101600553B1 (ko) 에피택셜 성장된 스트레스-유도 소오스 및 드레인 영역들을 가지는 mos 디바이스들의 제조 방법
US8222100B2 (en) CMOS circuit with low-k spacer and stress liner
US20130119444A1 (en) Semiconductor device and method of manufacturing the same
TW201407675A (zh) 半導體裝置及其製造方法
US10163724B2 (en) Integrated circuit device and method of manufacturing same
KR20170011957A (ko) 도핑된 격리 절연 층을 갖는 finfet
TWI585861B (zh) 具有磊晶成長之應力引發源極與汲極區之金氧半導體裝置的製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees