TWI439662B - 利用掃瞄干涉儀分析表面構造之方法與裝置 - Google Patents

利用掃瞄干涉儀分析表面構造之方法與裝置 Download PDF

Info

Publication number
TWI439662B
TWI439662B TW097148506A TW97148506A TWI439662B TW I439662 B TWI439662 B TW I439662B TW 097148506 A TW097148506 A TW 097148506A TW 97148506 A TW97148506 A TW 97148506A TW I439662 B TWI439662 B TW I439662B
Authority
TW
Taiwan
Prior art keywords
signal
analyzing
model
interference measurement
scan
Prior art date
Application number
TW097148506A
Other languages
English (en)
Other versions
TW200940948A (en
Inventor
Groot Peter J De
Lega Xavier Colonna De
Original Assignee
Zygo Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zygo Corp filed Critical Zygo Corp
Publication of TW200940948A publication Critical patent/TW200940948A/zh
Application granted granted Critical
Publication of TWI439662B publication Critical patent/TWI439662B/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02084Processing in the Fourier or frequency domain when not imaged in the frequency domain
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0675Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating using interferometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • G01B11/2441Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures using interferometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/02056Passive reduction of errors
    • G01B9/02057Passive reduction of errors by using common path configuration, i.e. reference and object path almost entirely overlapping
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02088Matching signals with a database
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/0209Low-coherence interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/41Refractivity; Phase-affecting properties, e.g. optical path length
    • G01N21/45Refractivity; Phase-affecting properties, e.g. optical path length using interferometric methods; using Schlieren methods
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/8422Investigating thin films, e.g. matrix isolation method
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method

Description

利用掃瞄干涉儀分析表面構造之方法與裝置
本發明係有關於利用掃瞄干涉量測來分析一測試物體的表面構造,且特別有關於分析測試物體複雜表面構造的表面地形與/或特徵。
掃瞄干涉量測用來獲得測試物體的資訊。例如關於與平面面板顯示器(FPD)度量有關的表面構造資訊,如FPD元件特徵、半導體晶圓片度量、薄膜及不同材料的原位分析。相關資訊的例子除了包括本身的表面地形外還有複雜表面構造之特徵,如薄膜參數(厚度或折射率)、不同材料的離散構造與干涉顯微鏡光學解析下(under-resolved)的離散構造。
干涉量測技術一般用於量測一物體表面的外形。干涉儀結合欲測物表面反射而來之量測光與參考表面反射而來之參考光來產生干涉影像。干涉影像中的條紋(fringe)代表欲測物表面與參考面之間的空間與構造的差異。
掃瞄干涉儀於一個相當於或大於干涉光的同調長度的範圍內掃瞄參考光與量測光之間的光程差(optical path length difference,OPD)。對於複數個掃瞄位置,偵測器量測干涉光的強度,此為掃瞄干涉量測信號(其後也稱干涉量測信號)的基礎。對於表面干涉量測,例如,複數個相機畫素可以用來在每一個掃瞄位置量測空間干涉影像,其中每一個相機畫素於掃瞄位置的範圍內對測試表面對應的位置量測干涉量測信號。干涉量測信號的特點一般是由具有鐘型的條紋對比包封的正弦載子調變(條紋)所特徵化。
干涉光的受限同調長度可被製作出來,舉例來說,使用白光光源,此處是指當作掃瞄白光干涉量測(scanning white light interferometry,SWLI)。典型的SWLI信號的特徵是在OPD為零的位置(定義為參考光與量測光之間有相同的路徑長度)會有一些條紋。
傳統的干涉量測方法是從干涉量測信號中推導出物體的特徵。分析可在一個掃瞄域下執行,例如,使用依掃瞄座標而定的干涉量測信號;或在一個頻率域,例如,使用從干涉量測信號推導出來的干涉量測頻譜。
對於表面描述,第一個方法包括例如定位包封的峰值或中心,並假定此位置對應雙束光干涉儀(其中一束光反射自物體表面)之OPD等於零。第二個方法包括例如利用波長計算轉換干涉量測信號相位的變化率,假定一本質上線性的斜率直接正比於測試物表面高度。後面這個方法被稱為頻率域分析(Frequency Domain Analysis,FDA)。請參見U. S. Patent No. 5,398,113、U.S. Patent No. 7,106,454及U. S. Patent No. 7,271,918,上述之詳細內容已併入參考資料。
用於表面特徵化的傳統技術(如橢率量測與反射量測)主要在於未知物光學介面的複合反射率是依其本質特性(材料特性與各層的厚度)與用來量測反射率之光的三個特性(波長、入射角與極化狀態)而定。實際上特徵化儀器會記錄已知範圍下改變這些參數所造成的反射率變動。
掃瞄干涉儀可基於干涉量測信號來分析測試物的表面構造。干涉量測信號的分析關係到干涉量測信號與一組模型信號的比較,其中每一模型信號的存在表示物體的一個特定的特徵(參數)。此比較的價值在於測試物參數的決定已經設定。
一般來說,在第一個特徵,本發明的特徵在於包括一個對測試物一個位置所得的掃瞄干涉量測信號與為了建立測試物模型的每一個對應不同模型參數的多重模型信號兩者之間的比較方法。其中對於每一個模型信號而言,此比較方法包括1)計算掃瞄干涉量測信號與模型信號之間的相關函數來辨明兩者之間的表面高度的落差(surface-height offset),2)基於辨明的表面高度落差,計算高度落差補償價值,高度落差補償價值表示一般表面高度下掃瞄干涉量測信號與模型信號的相似度。此方法更進一歩包括基於不同模型信號的各別價值,決定於測試物某位置之測試物參數。
在另一個特徵,干涉儀包括一個配置來由物體的一個表面位置獲得掃瞄量測信號的光學系統與一個處理器,此處理器包括程式碼,此程式碼配置來:
1)接收對應不同模型參數的複數模型信號,用來對測試物體建立模型、比較掃瞄干涉量測信號與每一個複數模型信號,其中對於每一個模型信號而言,此比較包括計算掃瞄干涉量測信號與模型信號之間的相關函數來辨明兩者之間的表面高度的落差以及基於辨明的表面高度落差,計算高度落差補償價值,高度落差補償價值表示一般估計的表面高度下掃瞄干涉量測信號與模型信號的相似度。
2)基於不同模型信號的各別價值,決定於測試物某位置之測試物參數。
在另一個特徵,包括一個對測試物每一個複數位置所得的掃瞄干涉量測信號與為了建立測試物模型的每一個對應不同模型參數的多重模型信號兩者之間的比較方法。其中對於每一個測試物位置與每一個模型信號,比較包括1)基於掃瞄干涉量測信號與模型信號的頻率域表示計算掃瞄干涉量測信號與模型信號之間的相關函數來辨明兩者之間的表面高度的落差,2)基於辨明的表面高度落差,計算高度落差補償價值,高度落差補償價值表示一般表面高度下掃瞄干涉量測信號與模型信號的相似度。此方法更進一歩包括基於在每一個不同測試物位置的不同模型信號的各別價值,決定每一個測試物位置之一個或多個測試物參數。
在另一個特徵,對於一組模型信號的至少一模型信號,計算高度落差補償價值,高度落差補償價值表示一般表面高度下掃瞄干涉量測信號與模型信號的相似度,其中計算高度落差補償價值包括執行掃瞄干涉量測信號(或其推導資訊)與模型信號(或其推導資訊)的相關性,並且基於此相關性,決定干涉量測信號與模型信號兩者之頻率域表示的高度-相依相位斜率並且補償干涉量測信號與模型信號兩者之至少一頻率域表示的係數相位。此方法更進一歩包括基於高度落差補償價值來決定測試物參數。
實施方式可能包括一個或多個以下的特徵。
在一些實施例中,計算的相關函數可根據掃瞄干涉量測信號的頻率域表示與模型信號的頻率域表示。
在一些實施例中,相關函數可包括將掃瞄干涉量測信號與模型信號的頻率域表示結果逆轉換至掃瞄座標域。
在一些實施例中,辨明的表面高度落差可對應計算的相關函數中的峰值。其中峰值藉由內插掃瞄位置間的相關函數來決定。
在一些實施例中,辨明表面高度落差可包括決定掃瞄干涉量測信號與模型信號之間的相位差。
在一些實施例中,決定相位差包括決定相關函數於峰值的複數相位。
在一些實施例中,計算表面高度落差補償價值可包括利用一具有對應辨明的表面高度落差的斜率之線性相位項,補償掃瞄干涉量測信號的頻率域表示或模型信號的頻率域表示,與接著相位補償之後量化掃瞄干涉量測信號與模型信號的相似度。
接著相位補償之後量化掃瞄干涉量測信號與模型信號的相似度可在頻率域中執行。
在一些實施例中,相位補償可使用於掃瞄干涉量測信號之頻率域表示,來產生對應於一般用來模型化模型信號的表面高度的掃瞄干涉量測信號之一頻率域表示。
干涉量測信號的頻率域表示之相位補償可包括將頻譜成分乘上一線性因子exp(-iK ζ offset ),其中K是條紋成分,ζ offset 是辨明的表面高度落差。
干涉量測信號的頻率域表示之相位補償可包括將頻譜成分乘上一相位因子exp(-iA peak ),其中A peak 是在計算的相關函數的峰值的複數相位。
干涉量測信號的頻率域表示之相位補償可包括移除頻譜內相位改變的線性部分。
相位補償包括移除由掃瞄干涉量測信號與模型信號之間的表面高度落差所形成的干涉量測頻譜與模型頻譜之相位差異。
在一些實施例中,計算高度落差補償價值可根據掃瞄干涉量測信號的頻率域表示與模型信號的頻率域表示。
在一些實施例中,計算高度落差補償價值可限制於頻率域內關注的區域。
在一些實施例中,計算高度落差補償價值可根據相位補償干涉量測頻譜與模型頻譜間的最小平方差。
在一些實施例中,計算高度落差補償價值可根據位於峰值位置的相關函數之複數相位。
在一些實施例中,計算高度落差補償價值可根據位於峰值位置的上述相關函數之峰值。
在一些實施例中,計算高度落差補償價值可根據標準化掃瞄干涉量測信號的頻率域表示或模型信號的頻率域表示。
在一些實施例中,對應模型信號的模型參數可包括一個或多個薄膜厚度或薄膜係數。對應模型信號的模型參數更可包括一個或多個關係到解析下的表面結構之參數。
在一些實施例中,解析下的表面結構可以是定義繞射光柵的陣列結構。
在一些實施例中,決定測試物參數可包括決定超過一個根據個別價值的測試物體參數。
在一些實施例中,決定的測試物參數可對應一個或多個表面高度、薄膜厚度與薄膜折射係數。決定的測試物參數更可對應一個或多個模型信號的模型參數。
在一些實施例中,決定測試物參數可包括根據比較高度落差補償價值來辨明搭配模型信號。
決定測試物參數可根據搭配模型信號。
在一些實施例中,決定測試物參數可包括根據於峰值的相關函數之複數相位的修正。
在一些實施例中,本方法可更包括輸出測試物參數。
在一些實施例中,對於每一個對應測試物的不同表面位置的多重掃瞄干涉量測信號,可以重複比較掃瞄干涉量測信號及多重模型信號與決定測試物參數。
在一些實施例中,本方法更包括獲得掃瞄干涉量測信號給上述多個表面位置。
在一些實施例中,給上述多個表面位置的掃瞄干涉量測信號可以利用將多個位置成像至影像偵測器的掃瞄干涉儀來獲得。
在一些實施例中,干涉量測信號的獲得是藉由在偵測器上成像測試物所顯現的光來與參考光產生干涉,並且變動從一般光源至偵測器間測試光與參考光的干涉部分光程差,其中測試光與參考光來自一般光源,其中當光程差變動時,干涉量測信號對應偵測器所量測的干涉強度。
在一些實施例中,測試光與參考光可具有大於測試光與參考光中心頻率5%的頻寬。
一般來源具有頻譜同調長度,光程差可在一個大於頻譜同調長度的範圍內變動,以產生上述掃瞄干涉量測信號。
在一些實施例中,用來導引測試光至測試物及成像至偵測器的光學元件可定義給測試光大於0.8的數值孔徑。
在一些實施例中,本方法更可包括解釋系統貢獻至掃瞄干涉量測信號,掃瞄干涉量測信號由用來獲得掃瞄干涉量測信號的掃瞄干涉儀系統所產生。本方法更可包括使用具有已知特性的測試物來校準上述掃瞄干涉量測系統的系統貢獻。
在一些實施例中。程式碼更可配置來轉換掃瞄干涉量測信號與模型信號至頻率域並根據轉換的信號計算相關函數。
在一些實施例中,程式碼更可配置來利用一具有對應辨明的表面高度落差的斜率之線性相位項,補償掃瞄干涉量測信號的頻率域表示或模型信號的頻率域表示,與接著相位補償之後量化掃瞄干涉量測信號與模型信號的相似度。
在一些實施例中,處理器更可包括程式碼配置來產生一根據模型參數的模型信號。
在一些實施例中,程式碼也配置來決定關係到測試物表面的測試物參數圖。測試物參數圖可根據高度參數、薄膜參數、與/或解析下的表面結構參數。
在一些實施例中,處理器更可配置來輸出關於決定的測試物參數之資訊。
在一些實施例中,光學系統可包括一多元件偵測器,配置來從物體每一個多重表面位置獲得干涉量測信號,其中處理器配置來根據獲得的干涉量測信號來決定有關每一個多重表面位置的測試物參數的資訊。
本發明另一個特徵中,用來製造顯示器面板的製程,包括:提供顯示器面板的組件;決定關於使用如前述的方法或干涉儀的組件資訊,其中組件對應測試物,而資訊根據測試物參數;以及使用組件形成顯示器面板。
製程的實施可包括一個或多個以下的特徵與/或其他特徵。例如,組件可包括一對由間隙所分開的基質以及關於間隙的資訊。形成顯示器面板可包括根據資訊調整間隙。在一些實施例中,形成顯示器面板可包括將間隙填入液晶材料。
組件可包括一基質與基質上的阻抗層。資訊可包括阻抗層的厚度。阻抗層可是一圖案化層,並且資訊可包括圖案化層的特徵維度或重疊錯誤。形成顯示器面板包括蝕刻阻抗層下的材料層。
組件可包括一包括間隔材料的基質以及關於間隔材料的資訊。形成顯示器面板可包括根據資訊修改間隔材料。
一個或多個實施例的詳細內容會在以下的圖示及實施方式中說明,其他特徵或優點會在實施方式、圖示以及專利申請範圍中。
掃瞄干涉儀可以藉由比較干涉量測信號與模型信號來分析物體的表面結構。表面構造例如表面高度、材料組成、膜厚度與光學解析的表面構造。掃瞄干涉量測的應用包括半導體晶圓片的檢查、平面顯示器製程的控制及一般實驗室使用。特定的例子是測量用於平面顯示器的薄膜電晶體之間色區域內光阻厚度。
量測的干涉信號由干涉量測系統獲得,例如第1圖中所示的干涉量測系統100。干涉量測系統100是根據一米洛(Mirau)式干涉儀,其具備測量路徑與參考路徑之間可調整的光程差(OPD)。
參考第1圖,來源模組105提供照射光110至分束器115,分束器115將照射光110導引至米洛干涉量測物體組合120。米洛干涉量測物體組合120包括一物鏡125、一參考平面130(參考平面130於中央的小部分具有一反射鍍膜,定義為參考鏡135)與分束器140。操作期間,物鏡125將照射光透 過參考平面130聚焦於物體145。物體145的特徵由其表面高度h(x,y)(變化於物體表面)及複雜表面構造來描述。
分束器140傳送聚焦光的第一部分至物體145來定義測量光150,並且反射聚焦光的第二部分至參考鏡135來定義參考光155。接著分束器140重新結合由物體145反射(或散射)的測量光150與由參考鏡135反射的參考光155。物鏡125與像鏡160將合成光成像為干涉至偵測器165(如多畫素相機)。當物體145的相對位置正被掃瞄時,偵測器165在一個或多個偵測器畫素上測量干涉光的強度,並且傳送資訊至電腦167分析。
米洛式的干涉量測系統100中的掃瞄包括壓電轉換器(piezoelectric transducer,PZT)170,其連接至米洛干涉量測物體組合120。壓電轉換器170相對於物體145,並沿著物鏡125的光軸(圖中標示為掃瞄座標ζ)掃瞄米洛干涉量測物體組合120整體。米洛式的干涉量測系統100提供每一個偵測器165畫素上的掃瞄干涉量測數據。可替換地,PZT也可連接至物體145而不是米洛干涉量測物體組合120,用來提供兩者之間相對的動作,如同PZT致動器175所示。在更進一步的實施例中,掃瞄可以藉由相對於物鏡125並沿著物鏡125的光軸,移動參考鏡135及分束器140兩者或其中之一來實行。
來源模組105包括空間延伸來源180、由鏡頭185與187所組成的望遠鏡、及位於鏡頭185前聚焦平面(同時與鏡頭187的後聚焦平面重疊)的孔徑190。這樣的排列將空間延伸來源180成像至米洛干涉量測物體組合120之瞳孔 平面195,這是Koehler成像的一個例子。孔徑190的大小控制物體145上照射領域的大小。
簡潔起見,第1圖顯示測量光150與參考光155分別聚焦於物體145與參考平面130上特別的點,接著形成干涉於偵測器165上對應的點。這樣的光對應於垂直於米洛干涉量測物體組合120之瞳孔平面195傳播的照射光110的一部分。照射光110的其他部分則最後照射物體145與參考鏡135上其他的點,並且成像於偵測器165上對應的點。
偵測器165是一種多元件(如多個畫素)相機,用來獨立地測量測量光150與參考光155對應於物體145上不同點的干涉(如對干涉圖案提供空間解析)。干涉量測系統100的光學解析由其光學特性與偵測器165的畫素大小來給定。
因為掃瞄發生於照射光正被聚焦於物體145的區域內,掃瞄根據入射角度改變光程差。結果測量光150與參考光155干涉部分之間兩者從來源模組201至偵測器165的光程差隨掃瞄座標ζ不同,根據測量光150入射物體145(或從物體145出現)角度。
光程差隨著掃瞄座標ζ變化的差異採用於偵測器165每一個畫素上所量測的受限同調長度。因此,干涉信號(掃瞄座標ζ的函數)一般由具有空間同調長度λ/2(NA)2 的包封所調變,在此λ是照射光的額定波長,NA是米洛干涉量測物體組合120的數值孔徑。要增加受限的空間同調,掃瞄干涉量測 系統100中米洛干涉量測物體組合120可定義大的數值孔徑NA,例如大於約0.7(或更偏好大於0.8、0.9)。干涉信號也可以由受限的時間干涉長度(與延伸來源180之頻譜有關)調變。根據干涉量測系統100的配置,一個或其他受限同調長度效應會主控結果,或者他們會一起大體上促成整個同調長度。
第2圖顯示基於表面高度落差補償來分析干涉量測信號的範例流程圖。要得到物體145的干涉量測信號,干涉量測系統100機械式或光電式地掃瞄參考路徑與量測路徑之間的光程差。測量光150沿著量測路徑導引至物體145並在反射與參考光155產生干涉。於掃瞄開始時的光程差依物體145的局部表面高度而定。干涉光強度由偵測器165所偵測。掃瞄期間,電腦167記錄每一個影像點或連續相機畫面中的相機畫素x,y之實驗強度數據I ex (x ,y ,ζ )(步驟200)。忽略干涉量測系統100任何影響(如偵測器靈敏度),實驗強度數鉅I ex (x ,y ,ζ )表示干涉量測信號。對於每一個對應於不同物體145表面位置相機畫素,電腦167可以於OPD掃瞄期間記錄這樣的干涉量測信號。
第3圖中,描繪出單一畫素的範例SWLI信號。曲線圖顯示量測強度為掃瞄位置ζ的函數。SWLI信號被偵測給具有SiO2 薄膜的Si基質。SWLI信號包括兩個重疊信號,Si基質的一個在左邊,SiO2 薄膜頂端表面的一個在右邊。
接著,在將干涉量測信號儲存為OPD掃瞄位置ζ的函數後,電腦執行一種轉換(如傅立葉轉換)來產生干涉量測信號的頻率域頻譜(步驟210)。這個干涉量測頻譜包括強度與相位資訊,以掃瞄維度中干涉量測信號的空間頻率之函數來表現。關於分析於頻率域中的干涉量測信號,其中一個例子揭露於由Peter de Groot所著之公開文獻U. S. Patent 5,398,113,標題為「利用干涉圖案之空間頻率分析來測量表面地形的方法與裝置」,上述內容在此併入參考資料中。
分析測量到的干涉量測信號會根據信號模型化。明確地說,信號模型化可以由相同的電腦167或另一台電腦執行,一台計算模型信號並儲存為模型程式庫的詞條或一台於需要時計算程式庫詞條。(步驟220)。
信號模型化根據一些關於物體表面構造的使用者輸入,如膜的堆疊(步驟230)與根據干涉量測系統100的特徵,如利用瞳孔平面成像(步驟240)。利用那些資訊,一台計算程式庫的詞條,如用於物體145歪斜參數的模型信號。舉例來說,一台產生對於表面參數之頻率域頻譜之理論預測程式庫以及用於干涉儀之系統模型。這些模型頻譜可涵蓋可能薄膜厚度、表面材料、與表面材質的範圍。在某些實施例中,模型頻譜被計算於固定的表面高度,如0 OPD。因此在這樣實施例中,模型程式庫並不包括物體位置沿著掃瞄座標的資訊,但包括複雜表面構造的形式與物體145、光學系統、照明系統、與偵測系統之間的交互作用資訊。
現在轉向SWLI模型信號的程式庫範例生成,SWLI信號是干涉信號於所有光線穿過瞳孔與所有光源波長的總和。不同調的疊加對於特定膜厚L允許以逆傅立葉轉換計算模型信號I (L ,ζ):
其中ρ(L,K )是於波紋頻率K的傅立葉要素。波紋頻率K=4週期/微米(週期=2π弧度)表示強度震盪於掃瞄動作的每一微米通過四個完整期間。波紋頻率K對應到光線穿過照明瞳孔的入射角度Ψ,根據
K =4πβ/2 (2)
其中β=cos(Ψ)是入射角Ψ直接取餘弦,而λ是光源光學頻譜中的一個波長。傅立葉要素ρ(L,K )是加權係數,表示來自入射角度Ψ與波長λ之特定組合所帶來的干涉效應多寡,與根據第(2)式引起波紋頻率K。
傅立葉要素ρ(L,K )值也包括物體表面與系統等級分散之複雜相位資訊特徵。SWLI工具具有非零傅立葉要素ρ(L,K )之廣範圍與強度數據I (L ,ζ)中的對應震盪。對於無膜表面,第(1)式之建設性干涉只發生在接近零ζ之掃瞄位置。
來源頻譜中每一個條紋頻率K的係數ρ(L,K )正比於波數k=2π/λ上的單一整數:
其中m(L,β,k)是厚度L之薄膜結構的物體反射率,而不受物體控制的系統特徵被集合至一變動的Sys(β,k)。系統特徵在此假定為圓形對稱,包括量測路徑的穿透率t(β,k)、參考路徑的反射率r(β,k)、光線於瞳孔平面的假定軸向對稱分佈、與光源及偵測器之有效光學頻譜V(k):
Sys (β,k )=U (β)r (β,k )t * (β,k )V (k )(4)
出現於第(3)式之餘弦β是根據第(2)式條紋頻率K與波數k的函數,並且連結至對變數k積分。
系統特徵或校正決定Sys(β,k)並且可能被計算做為獨立物體的「基礎」程式庫,此程式庫可用於物體表面m(L,β,k),做為模型信號產生的最後步驟。第4圖顯示對於固體(無膜)表面之信號預測的品質。
一種產生模型干涉的方法揭露於建檔於2007年7月19日的U. S. Patent Application Serial No. 11/780,36,標題為「對干擾量測產生模型信號」,文章內容在此併入參考資料中。
第5圖顯示當分析第3圖之實驗數據時可使用的範例模型信號。對於薄膜量測(為根據模型的SWLI分析之一般應用的例子),一台電腦尋找膜厚L並假定膜材料為已知。因此,膜厚度L是可變模型參數,而其中一個用來比較實驗與理論的方法是在膜厚度的範圍(歪斜)內預先計算用於比較的可能信號程式庫。模型信號接著儲存為由第(3)式計算的傅立葉或等價頻率域ρ(L,K )。當然如果軟體夠快,一台電腦可以飛快地計算這些模型信號遠超過儲存它們。但潛在地大量的影像畫素並全部有相同的模型參數歪斜要被分析,還是使用預定的程式庫比較好。看第5圖的模型信號,此圖對0nm、500nm、1000nm之膜厚作模型,一台電腦可猜測貢獻第3圖之干涉信號之SiO2 厚度接近1000nm。
在搭配操作中(步驟250),實驗干涉量測信號藉由辨識一個搭配的模型信號的程式庫搜尋方法而被比較於程式庫。第6圖顯示程式庫搜尋用於分析物體145以得表面結構資訊之範例流程圖。一台電腦獲得一干涉量測信號(步驟600)與產生模型信號之程式庫(步驟610)。接著一台電腦比較干涉量測信號與模型信號(步驟630)。根據比較,一台電腦分辨搭配模型信號(步驟640),此搭配模型信號是用來決定測試物之特徵化表面結構參數。
在薄膜厚度未知的情況下(第3圖),用於單一表面(如Si上的SiO2 )的程式庫,該程式庫可以涉及許多可能的膜厚度,如最上層表面高度總是等於零。另一個表面構造的例子是表面粗糙度與未解析的柵型結構,其中可調整參數可以是用於表面粗糙度之粗糙深度與/或空間頻率。
參考第2圖所示的搭配操作(步驟250),物體145由畫素基礎以2D分析於一個畫素上。因此一台電腦對物體的一個數據點(畫素)選擇傅立葉數據(步驟260)。接著一台電腦選擇程式庫的詞條,例如模型信號或頻譜(步驟270)。使用干涉量測與模型信號之相關函數,一台電腦決定干涉量測信號與模型信號之相對位置,如表面高度落差(步驟280)。表面高度落差對應至一相位項(根據此項位項一台電腦補償干涉量測信號)或模型頻譜或兩者,例如於頻率域中(步驟290)。接著一台電腦藉由計算干涉量測信號與模型信號之間的頻率域差異來計算高度落差補償價值(步驟300)。
價值的計算對於完整的程式庫或是程式庫的詞條子集合會重複的進行(迴圈310)。接著,一台電腦分辨最佳的價值,如程式庫詞條(或程式庫詞條的修補),使其達到最佳價值函數的基準。根據此價值與/或相關模型信號,一台電腦決定一個或多個測試物體參數,如薄膜厚度與表面高度(步驟320)。
這個程序對需要的畫素重複運作(迴圈330),而提供測試物體參數,例如膜厚度與高度的3D影像(步驟340)。
下面提供分析的數學說明。
在某些實施例中,一台電腦於頻率域中(如傅立葉轉換域)比較模型與干涉量測信號。因為第(1)式是逆傅立頁轉換,一台電腦可以從實驗強度數據Iex(x,y,ζ)的傅立葉正轉換中產生可比較的實驗傅立葉係數qex(x,y,K)。
實驗係數qex(x,y,K)包括表面高度h(x,y)的線性函數之相位項。
q ex (x ,y ,K )=ρ ex (x ,y ,K )exp[iKh (x ,y )] (6)
Kh(x,y)項是高度相依之相位斜率,該項單獨根據表面結構並與表面高度獨立,將傅立葉係數qex(x,y,K)與理論上預測之傅立葉係數ρ(L ,K )兩者之直接比較複合。因此一台電腦首先充分估計h(x,y)來移除其來自qex(x,y,K)的相位貢獻,僅留下高度相依部分ρex(x,y,K)。
除了補償於實驗端的高度相依相位外,一台電腦可將模型端或兩端之相位納入考量。在這些情況下,相位補償可對應傳輸實驗干涉量測信號與模型信號至掃瞄位置,其在比較兩個信號時最佳化高度獨立重疊。
要決定高度相依相位斜率,一台電腦使用相關性技術來估計h(x,y)。假定一台電腦具有模型信號頻譜ρ(L ,K )。實驗與模型信號之相關性為:
對於實驗與理論有明確的搭配的情況已經被確認。相關性為:
當相關性[h(x,y)-ζ]=0時應具有一峰值。此峰值可透過搜尋掃瞄位置ζ來找到給予∣J(x ,y ,L ,ζ)∣峰值的離散位置ζ best (x ,y ,L )(對應一特定相機畫面)。位置ζ best (x ,y ,L )可被精煉至一值ζ fine (x ,y ,L ),藉由相機畫面間的2階修改。
當在模型信號不明確同於干涉量測信號情況下,相關性仍會辨識模型信號與干涉量測信號最佳重疊的位置。
第7圖顯示實驗干涉量測信號與模型信號之相關值∣J (x ,y ,L ,ζ)∣之範例。峰值表示最佳重疊的位置。峰值也對應到當模型信號正確地搭配到干涉量測信號時之局部表面高度。
更進一步的精煉可根據相關性的複合相位A:
A (x ,y ,L )=arg{J [x ,y ,L fine (x ,y ,L )]}(9)
複合相位A關係到模型信號與干涉量測信號間整個K獨立的相位間隙,對於信號根據相關值盡可能的排列好的情況,如根據單一形式。在理想的情況下,如果模型信號包括任何關於儀器或表面材料的預期的相位移動,當正確的厚度L best 被辨識時,用此方法量測到之複合相位A(x,y,L)會為零。複合相位A(x,y,L)可被保留為一自由變數來最佳化調整;但一台電腦也可使用複合相位A(x,y,L)於價值函數來計算該調整的品質。
根據給予高度落差的精煉的掃瞄位置ζ fine (x ,y ,L ),一台電腦可補償線性相位項。舉例來說,一台電腦可以計算實驗信號係數q shift ,此實驗信號係數q shift 修正來給掃瞄內的位置及任何關於模型信號的相位落差:
q shift (x,y,L,k )=q ex (x,y,K )exp[-iKζ fine (x,y,L )-iA (x,y,L )](10)
其中ζ fine (x,y,L )是對於相關性∣J (x,y,L,ζ )∣之內插最佳搭配位置,相位間隙A(x,y,L)則來來自於第(9)式。如果一台電腦已辨識正確的厚度L best ,干涉量測信號之相位移動傅立葉係數會是
q shift (x,y,L best ,k )=q ex (x,y,K )(11)
但對於所有其他測試值L,一台電腦只可以預測約略情況。
根據相位補償,一台電腦計算一相位(高度落差)補償價值,相位補償價值表示模型信號與干涉量測信號調整的品質。模型信號與干涉量測信號之間的搭配品質的最適合測量方法是最小平方差
X 2 =Σ[q ' shift (x,y,L,K )-p '(L,K )]2 (12)
其中總和是ρ(L,K)≠0之所有K值之和;例如在所欲之頻率域區塊,由預測信號頻寬與定義並排除雜訊與飄移。
要直接地表現第(12)式中的比較,模型與實驗信號的信號強度被歸一,由上標「’」來表示:
第8圖顯示對於傅立葉係數中實部與虛部分別與左與右兩圖之圖形比較。係數震盪關係到膜厚度,膜厚度越厚如同條紋頻率K之函數的這些震盪會加快。平滑線條表示模型頻譜ρ’(L,K),而線條(顯示於下方數據)表示相位補償實驗係數q ' shift (x ,y ,L ,K )。
第9圖以對應於最佳搭配(由頻率域搜尋獲得)的模型信號(小點)表示掃瞄域內的實驗信號。實驗信號在第9圖中比在第3圖中原來的數據清楚,因為實驗信號從只有對應到信號之頻率域中之欲得區塊重建,因此濾掉了雜訊與低頻飄移。
雖然一台電腦可以藉由χ2 函數之最小值良好地辨識最佳搭配,一台電腦建構一反比於χ2 函數之價值函數,使得最佳搭配由程式庫詞條之價值分佈峰值來定義。價值函數可包括其他標準,如由複合相關性計算於第(9)式之相位間隙A(x,y,L)。如同所述,在理想的情況下,以此方法量測的相位間隙A(x,y,L)於正確厚度L =L best 時為零;因此一非零值是實驗與理論之間不搭配的測量。另外,好的搭配必須於ζ fine 有大的相關峰值。因此適合的價值例如:
一台電腦當然建構其他價值函數來最佳化演算法的強健,或是使用其他如同信號強度的因子為價值標準。
要決定參數特徵化表面結構,一台電腦求出價值函數的計算值給使用的模型函數。第10圖顯示第3圖之範例信號的價值分佈。如果模型程式庫具有夠小的厚度增量,則於給予最高價值之L =L best 時足夠充分地辨識模型信號。否則模型信號程式庫可以藉由2次近似程式庫值L best ,很有用且有效率的精煉至L fine 。其他的可能性包括精煉相鄰值之間的模型信號,或執行涉及現時計算模型信號的「及時」搜尋而不使用儲存的程式庫值。最佳的選擇是平均複數畫素的價值、增進信號對雜訊比。
價值分佈表示對於特定膜厚度(Si上之Si02)的模型信號與實驗干涉量測信號之間的搭配品質。在第10圖的情況下,最佳搭配模型信號模型化為關係到膜厚度為1008nm的一模型參數。
在一些實施例當中,它直接來產生頂面高度輪廓。因一台電腦已經於相關性程序計算需要的資訊。根據同調峰值的第一次表面高度估計為:
其中下標表示此高度關係到同調或信號形狀效應。更精煉的估計如下:
其中α是A(x,y,L best ) 於x與y座標上的視野平均,K 0 是由沒有膜的表面之傅立葉係數的平方強度中心所定義的微少載子信號頻率:
第11圖與第12圖顯示在此敘述的方法與系統之輪廓描寫能力。第11圖顯示一溝型的2D表面輪廓,其蝕刻980nm厚Si02膜到約160nm的深度。鉤的一部分已經鍍上金使得最頂端表面輪廓可以在測量時排除薄膜效應的干涉。線條是當溝鍍上金量測時的最頂端的表面輪廓。第11圖是比較此頂端表面高度輪廓與量測的膜厚度,並利用高度輪廓的落差排列出頂端表面的曲線。結果顯示一輕微較深的溝深度,其可能是實際情況(金沉積於溝底部的結果)或是模型化的加工。不管在哪一種情況,搭配相當接近並且描繪於高側面解析度下有<200nm厚度輪廓。
第12圖顯示一用於平面顯示器之TFT區域的3D表面輪廓。顯示於100X強度影像於左邊的TFT區域對於光阻層於馬蹄形HT區域內有一厚度範圍,此範圍量測到120nm到320nm於右邊的3D輪廓。
揭露的實施例在一台電腦辨識高度落差時並不依靠相位展開,並因此一般不會受到可能會由相位展開所產生的不確定性所影響。相位展開的不確定性說明與第13圖相關聯。一些分析干涉量測信號的方法用相位展開來傳遞。舉例來說,揭露於U. S. Patent No. 7,106,454的一個實施例,一台電腦藉由減去掃瞄干涉量測信號與模型信號之間相位差異的線性近似來移除線性相位轉變。接著,一台電腦分析剩餘的非線性相位頻譜。
藉由線性近似來移除相位斜率需要一台電腦跨過傅立案頻率展開或連結相位數據。相位展開移除不可避免的2π相位不確定性,此不確定性會在相位值被計算時產生。然而,相位展開並不總是簡單的,例如,具有複雜的表面結構時。實部相位非線性關係到薄膜可以有π的振幅使波長與角度對應到一抗反射鍍膜。
於第13圖中,對於鉬(Mo)上具有光阻材料508nm厚之測試物體的掃瞄干涉量測信號,其傅立葉量值與相位描繪於傅立葉頻率(cycle/trace)上。
第13圖例說明不確定性出現於相位展開並且影響測試物體分析的品質。一個週期或2π相位跳動在頻率數28與29間以及頻率數55與56間。2π相位跳動最有可能為整個相位斜率包繞於±π範圍內的結果。於頻率數55與56間的2π相位跳動可藉由減去2π與持續相位於-0.5 cycles而被修補。
由頻率數56至57的相位跳動更為複雜,因為與頻率數56差距將近整個π。跨過這樣的相位歩階,展開過程是混亂的,有些時候由2π包繞,有些時候則不是如此。當相位展開不一致時分析的結果也會不一致。
我們已經說明了一些實施例。然而不跳脫本發明的精神與特徵下仍然可以作出各種修正。一些例子將敘述如下。
在此揭露文件中,「干涉量測信號」與「模型信號」常被使用於簡化理由,但在此推導出的資訊可以使用類似方法在很多用途上。
舉例來說,干涉量測信號與模型信號的比較可以根據處理過的干涉量測與或模型信號。例如,干涉量測信號可以數位預先處理,藉由壓縮或修正雜訊、選擇一個信號部分或時間窗。此外,比較可以根據干涉量測信號於頻率域表示的程式庫比較,如關係到干涉量測信號的頻率頻譜與模型化的頻率頻譜之間的比較。
雖然在上述實施例中高度落差補償可藉由修改掃瞄干涉量測信號達成,但一台電腦也可修改模型信號或兩者(例如,掃瞄干涉量測信號與模型信號)。然而修改必須使比較干涉量測信號與模型信號是根據一般表面高度的信號來進行。舉例來說,模型中傳播的光學路徑長度調整至干涉儀的光學路徑長度使得干涉儀內的光程差為零,而模型是根據一些用量測光與測試光的情況。
一般來說,高度落差補償價值可以根據高度落差補償、相位補償、與/或表面高度獨立干涉量測信號(或在此推導的資訊)而被計算出來。例如,高度落差補償價值可以於干涉量測信號的相位補償光譜表現中推導,如傅立葉頻譜。
對於比較模型信號,模型信號之程式庫可以使用人工範本憑經驗地產生。作為另一個選擇,程式庫可利用的資訊來自於物體表面之習知的補充測量,補充測量由其他儀器提供,例如偏振光橢圓率測量儀、與其他由考量已知物體表面特性的使用者所做的輸入(為了降低未知表面參數的數量)。用於程式庫產生的任何的技術、理論的模型化、經驗數據、或由其他補充測量而來的理論增加,可以擴充並藉由精煉來產生一立即值,作為程式庫創造的一部分或是及時的程式庫搜尋。
比較模型與干涉量測信號可能根據已下任何一項:頻譜中的量值與/或相位數據之乘積或差,例如:平均量值與平均相位、平均量值本身、與平均相位本身之乘積或差;量值頻譜之斜率、寬度與/或高度;干涉對比;於DC或零空間頻率之頻譜數據;量值頻譜的非線性或斜率;相位的零頻率截距;相位頻譜的非線性或斜率;與任何這些標準的結合。
在一些實施例中,測試物體參數的決定根據計算的價值。明確地說,測試物體參數可以根據具有最佳價值的最佳搭配模型信號、由一個或多個最佳搭配模型信號推倒出來的一個或多個內插模型信號、與/或關係到一個或多個最佳搭配模型信號的一個或多個內插模型參數。
測試物體參數的例子包括說明表面結構的參數。表面結構的特徵可以由表面高度特徵(例如干涉量測顯微鏡下的光學解析)、複雜表面結構特徵來描述。本說明書中複雜表面結構包括測試物的內部結構與不可被干涉量測顯微鏡光學解析的解析結構。表面高度特徵的例子包括表面高度本身。內部結構參數的例子包括薄膜資料(如厚度、折射率、薄膜層數)。解析的表面結構參數例子包括接析特徵資料,如解析繞射柵結構、歩階高度結構、與歩階位置。
測試物參數可以跟模型信號有關。舉例來說,一個特徵化表面高度的參數可以透過干涉量測信號與最佳搭配模型信號的相關性來決定。接著相關性產生一峰值於關係到表面高度的掃瞄座標。相同地在頻率域,表面高度可以利用傳統FDA分析來抽取。做為一複雜表面結構的例子,一台電腦可以指派表面膜厚度,而當模型化最佳搭配模型信號為測試物表面膜已決定之厚度時,表面膜厚度使用於模型參數。
在一些情況下,比較可以反覆的執行來進一步地改善結果。在二維下,比較可以精煉於畫素對畫素或區域性的基礎,藉由創造關係到局部表面型式的精煉模型信號。舉例來說,如果於初步的比較期間表面具有約0.1微米的薄膜,那麼電腦可能會產生接近1微米的範例模型參數(薄膜厚度)之微粒程式庫來進一步精煉比較。
在一些實施例中,分析可能近似於第2圖的說明,除了干涉量測信號與模型信號之間的高度落差補償比較是根據掃瞄座標域中的資訊。實驗信號可以半週期載子震盪來特徵化,半週期載子震盪藉由關於掃瞄座標的封包函數於振幅模組化。比較模型與干涉量測信號接著會根據下列任何一項:平均信號強度;信號封包形狀,包括偏離一些理想或參考的形狀如高斯;關係到封包函數的載子信號之補償相位;跨過0的相對間隔與/或信號最大與最小;最大值、最小值與排序值;調整最佳化的相對掃瞄位置後,干涉量測信號與模型信號之間相關性峰值;與任何這些基準的結合。
根據干涉量測信號與模型信號之比較,一台電腦可以決定一個或多個測試物體參數。電腦接著顯示或傳送這些數值化或圖形化地敘述表面結構(複雜表面結構與高度資訊)的測試物體參數給使用者或者是主系統來作進一步的分析或資料儲存。
舉例來說,使用搭配模型與/或相關性函數,電腦決定表面高度資訊加上辨識的複雜表面結構特性。對於2D影像的情況來說,電腦可以產生,例如一由高度資料所建構的三維影像與對應影像平面座標,一起以圖形或數值顯示複雜表面結構。
在一些實施例中,使用者可能只對被模型信號模組化的複雜表面結構有興趣,而對表面高度沒興趣,在這樣的情況下,決定表面高度的步驟就不會被執行。相反地,使用者可能只對表面高度有興趣,而對被模型信號模組化的複雜表面結構沒興趣,這樣的情況下,當比較干涉量測信號與模型信號時,電腦補償實驗干涉量測信號(或由其推導出的資訊)與/或模型信號(或由其推導出的資訊)來對線性相位貢獻,使得搭配模型與連續的表面高度更精確且有效率的決定,然而電腦不需明確地決定複雜表面結構或顯示它。
上述分析可應用於多種表面分析問題上,包括:簡單薄膜(這種情況下,例如有興趣的可變參數可能是膜厚度、膜的折射係數、基質的折射係數、或一些它們的組合);複數層薄膜;會產生繞射或複雜干涉效應之銳利的邊緣與表面構造特徵;解析下的表面粗糙度;解析下的表面結構,例如於一平坦表面的一子波長寬之溝;離散材料(例如表面可能包括薄膜與固體金屬的結合,此狀況下程式庫能包括表面結構類型並藉由搭配至對應的頻率頻譜自動地辨識薄膜或固體金屬);光學活性如螢光;表面的分光鏡性質,如彩色與波長相依的反射率;表面的極化相依特性;表面的偏向、震動、動作或者是造成干涉信號混亂的可變形表面結構;關係到資料獲得程序的資料失真,例如資料獲得窗並不完全環繞干涉量測信號。
因此,關係到特徵的測試物體參數模型化可被決定,而模型信號可被模型化過程中敘述這些特徵的模型參數參數化。
在一些情況下,分析也可包括系統特徵化,系統特徵化包括例如,測量一個或多個具有以之表面結構與表面地形的參考人造物,以用來決定如系統波前錯誤、色散與沒有包括於理論模型的效率等參數。
再者,分析可包括整個基準,包括例如,測量一個或多個參考人造物來決定測量的表面參數之間的相關性(如由程式庫搜尋而決定的膜厚度)與獨立地決定這些參數的值,例如藉由橢圓量測分析。
干涉量測系統可能包括下列任何一個特徵:窄頻帶光源加上高數值孔徑(numerical aperture,NA)物鏡;寬頻帶光源;高數值孔徑與寬頻帶光源的結合;干涉量測顯微鏡之物鏡,包括油/水浸泡與固體浸泡型,例如麥克森(Michelson)、米洛(Mirau)、林尼克(Linnik)幾何;於多種波長一連串的測量;與未極化光,包括線性、圓形與構造。舉例來說,構造極化光可能牽涉到,例如一極化光罩,產生不同的極化給照明或影像瞳孔之不同的片段,以便於揭露極化相依之可歸因於表面特徵的光學效應。干涉儀也可包括整個系統基準,如上所述。
在其他實施例中,來源模組可包括一配置,空間延伸的光源直接成像於測試物體,一般稱為關鍵成像(critical imaging)。
在一些實施例中,用於產生掃瞄干涉量測信號的光限制的同調長度是根據白光光源,或是更概略地說可以是寬頻帶光源。在其他實施例中,光源可以是單色光,並且限制同調長度可來自於使用高數值孔徑(NA)將光導向測試物體(與/或從測試物體接收光)。高數值孔徑使光線在一個角度範圍內接觸測試表面,並且當OPD被掃瞄時產生不同的空間頻率組成於記錄的信號中。在另一些實施例中限制的同調可來自於兩個效應的組合。
限制同調長度的起源也可以是物理基礎,用來做為掃瞄干涉量測信號中的資訊。明確地說,掃瞄干涉量測信號包括複雜表面結構的資訊,因為其產生於光線以許多不同波長與/或不同角度接觸測試表面。
要提供橢圓量測,干涉量測系統可包括一固定或變動的偏光板在瞳孔平面。參考第1圖,米洛式干涉量測系統100可包括極化光學元件197於瞳孔表面,用來選擇將想要的極化來入射測試樣本(或由測試樣本顯現)。更進一步來說,極化光學元件可以重新配置為不同的選擇極化。極化光學元件可包括一個或多個元件,包括偏光板、波片、切趾孔徑、與/或調節元件來選擇給訂的極化。更進一步,極化光學元件可以是固定的、有結構的或可重新配置的,用來產生相似於橢圓儀的數據。舉例來說,對於s極化具備一放射狀地極化的瞳孔之第一個測量接著對於p極化具備一放射狀地極化的瞳孔。在一些其他例子,一台電腦可使用具備線性極化光之切趾瞳孔平面,如裂縫,其可於瞳孔平面旋轉而導引任何想要的線性極化態至物體,或至可重新配置螢幕,如液晶顯示器。
在另一些實施例中,極化光學元件可放置於裝置內別的位置。例如線性極化可以於系統內任意位置達成。
另一個配置允許使用孔徑、偏光板、波長濾過器或其他位於(或接近於)干涉量測系統之瞳孔平面195的裝置,以便於分離出多種方位角、瞳孔平面內的位置等等,不論靜態或動態。
舉例來說,要以各種極化狀態來分析測試物體,一台電腦可以使用極化元件,例如於照明或成像平面中。這些元件可以被光電性地發動並操作於高速,再次提供每秒數百個測量因為高速資料獲得由單一偵測器幾何所提供。
另外,一台電腦可藉由使用濾過的光源與多重資料獲得來提供或選擇多重波長。波長的濾過可由分光鏡裝置、可調變波長干涉濾過器、第二干涉儀、聲光的可調變濾過器、可切換光源如同複數雷射依次操作、或任何其他裝置或裝置組合來執行。
另外配置也允許高速掃瞄資料獲得,藉由使用單一或小數目接近於成像平面的偵測器元件來實現。允許快速反覆的測量,快速反覆的測量必須來修改儀器配置中平均或連續改變,例如,按順序排列波長範圍。
在其他的應用中,上述的技術可應用於半導體製造中的製程控制。其中一個例子就是製程中對關鍵尺寸(critical dimensions,CDs)的監控,這是許多該科技組成於微米與奈米尺寸上製造的核心。例子包括半導體IC製程,如電晶體與邏輯設計,與金屬銅鑲嵌連接一樣。較廣地定義,CDs包括側邊尺寸、蝕刻深度、膜厚度、歩階高度、側壁角度與影響半導體元件表現的相關物理尺寸。CD度量提供在製造流程中所發生的製程控制與缺陷偵測,特別是蝕刻、研磨、洗淨與圖案化的結果。除此之外,CD度量所暗指的基礎測量能力於半導體IC製造製造外仍有廣大的應用,例如顯示器、奈米結構與繞射光學元件。
例如掃瞄干涉量測可以在晶圓片上介電層之化學機械研磨(CMP)時,被用於非接觸式表面地形測量半導體晶圓片。CMP用來創造介電層之平滑表面,平滑表面適合於精確的光微影技術。根據干涉量測地形方法的結果。CMP的製程狀況(如墊片壓力、研磨漿成分等)可以被調節來維持表面的非均勻性於可接受的限制內。
我們要進一歩了解的是因為描述於附加圖式的一些系統的組成與方法步驟可以在軟體中實行,系統組成(或製程步驟)之間實際的連接可能會根據揭露的方法所寫的程式而有所不同。根據在此提供的教學,此技術領域的通常知識者可用揭露的系統與方法得出相似的實行手段與配置。
舉例來說,在此揭露的數值與符號的步驟可以被轉為一個被執行的數位程式,例如在數位信號處理器上根據熟知技術。數位程式可以儲存於電腦可讀媒體內,如硬碟,並且被電腦處理器執行。另外適當的步驟可以被轉換到數位程式,此數位程式被硬接線至執行步驟的處理器內的專-用電子電路。根據給予的數值或符號分析程序來產生專用的電子電路,此方法在本發明中也是熟知的技術。
應用例
如同前面所討論,上述系統與方法可以被應用於多種表面分析問題上。下面會說明某些應用例。
半導體製程
上述系統與方法可用於半導體製程來做工具特定監控或控制製程流程本身。在製程監控的應用中,單/多層膜藉由對應的製程工具被生成、沉積、研磨、或蝕刻於未圖案化的矽晶圓片上(監控晶圓片),厚度與/或光學特性接著藉由使用於在此揭露的掃瞄錯誤修正技術之干涉量測系統而被量測。監控晶圓片之平均(等同於晶圓片均勻性內)厚度與/或光學特性被用於決定相關製程工具是否操作於特定的目標或是否需要重新設定目標、調整、或生產使用的去除。
製程控制的應用中,後面的單/多層膜藉由對應的製程工具被生成、沉積、研磨、或蝕刻於圖案化的矽晶圓片上,接著厚度與/或光學特性接著藉由使用於在此揭露的掃瞄錯誤修正技術之干涉量測系統而被量測。用於製程控制的生產測量一般包括一個小的測量地點以及將測量工具對齊所想要的樣品區域的能力。這個地點可由多層膜堆疊(本身可能被圖案化)構成,因此需要複雜的數學模型化來抽出相關的物理參數。製程控制測量決定了積體製程流程穩定性,也決定了積體製程是否要繼續、重新設定目標、重新導正至其他裝備、或完全關機。
舉例特定來說,在此揭露的干涉量測系統可被用來監控以下的裝備:擴散、快速熱退火、化學蒸氣沉積工具(需要低壓與高壓)、介電蝕刻、化學機械研磨、電漿沉積、電漿蝕刻、光微影軌跡、與微影曝光工具。另外在此揭露的干涉量測系統可被用來控制下面製程:挖溝與孤立分離、電晶體成形以及層與層之間的介電資訊(如雙鑲嵌)。
銅連接結構與化學機械研磨
晶片製造商正逐漸普遍使用稱為「雙鑲嵌銅」製程來製造晶片不同部分之間的電性連接在。這是一個使用適合的表面地形系統而可以被有效特徵化的製程範例。雙鑲嵌製程一般認為有六個部分:(1)層與層間介電性沉積(interlayer dielectric deposition,ILD),其中介電材料層(如聚合物或玻璃)會沉積在晶圓片(包括複數的個別的晶片)的表面;(2)化學機械研磨(chemical mechanical polishing,CMP),其中介電層被研磨來產生平滑表面,平滑表面適合精確的光微影技術;(3)結合光微影圖案化與反應離子蝕刻步驟,其中創造出複雜網絡包括,平行於晶圓片表面之窄溝與從窄溝底部到較低(先前定義)電性導電層之小通道(vias);(4)金屬沉積步驟的結合,此步驟結合結果是銅溝與通道的沉積;(5)介電沉積步驟,介質使用於銅溝與通道;(6)最後CMP步驟,移除多餘的銅,留下充滿介電材料圍繞的溝(也可能是通道)的銅網絡。
參考第14A圖,裝置500是膜結構之範例,此膜結構由介質504沉積於銅特徵物502上,而銅特徵物502則沉積於基質501上所構成。介質504具有非均勻的外部表面506,顯示出高度的變化。裝置500所獲得的干涉信號可能包括由表面506、銅特徵物502與介質504之間的介面508、以及基質501與介質504之間的介質504所產生的干 涉形式。裝置500可能包括也能產生干涉形式的複數的其他特徵。
參考第14B圖,裝置500’顯示最後CMP步驟後的裝置500的狀態。上層表面506平面化為表面506’,介面508現在可以暴露於環境中。基質表面的介面510依然完整。裝置表面與非均勻性極其依介質504的平面化而定。值得感謝的是研磨速率與研磨後留下來的銅(與介質)厚度強烈地並以複雜的方式依賴研磨狀況(如墊片壓力與研磨漿成分)以及銅與週遭介電區域的局部詳細設置(如定向、接近度與形狀)。因此部分在銅特徵物502上的表面506可能會以不同於其他部分的表面506之速率蝕刻。另外一旦銅特徵物502之介面508曝露,介電質與銅特徵物可顯示不同的蝕刻速率。
「位置依研磨速率而定」引起許多側面的長度尺寸上有變動的表面地形。舉例來說,它指的是位於接近晶圓片邊緣的晶片會以比位於中央的晶片有更快的研磨速度,所製作的銅區塊接近邊緣比要求的要薄,而位於中心的則比要求的要厚。這是一個「晶圓片尺寸」加工非均勻性的範例,例如發生的長度尺寸可比得上晶圓片直徑。我們也知道具有高密度的銅溝會比鄰近具有較低密度銅溝的區域以較高的速率研磨。這導致在高銅密度區域有CMP所生成的侵蝕現象。這是一個「晶片尺寸」加工非均勻性的範例,例如發生的長度尺寸可比得上(有時候會更少於)單一晶片的線性維度。另一種晶片尺寸非均勻性的形式一般叫做「凹 陷」,發生在單一銅填滿溝的區域內(會傾向比週遭介電材料以較高的速率研磨)。對於溝寬度大於數個微米來說凹陷會變得嚴重,因受影響的線路後來顯現過度的電阻導致晶片缺陷。
CMP造成的晶圓片與晶片尺寸製程的非均勻性本質上難以預測,並且會受到CMP加工系統內的狀況發展而改變。要有效地監控並且合適地調整製程狀況來確保任何非均勻性都維持在可接受限制內,於晶片上大量且種類多的位置做頻繁的非接觸平面地形測量對製程工程師而言是很重要的。而這可以使用上述干涉量測方法與系統的實施例。
在一些實施例中,一個或多個空間特性,如表面506的地形與/或介質504的厚度會藉由獲得來自CMP前(與/或當時)的結構而來的低相干干涉信號來監控。根據空間特性,研磨狀況可以改變來達成所需要的平面表面506’。舉例來說,墊片壓力、墊片壓力分佈、研磨劑特性、溶劑組成與流動、與其他狀況可以根據空間特性而決定。一段研磨時間後,空間特性可再次決定並且研磨狀況轉變為所需要的。地形與/或厚度也代表如介質504’完成的結束點。因此低相干干涉信號可以被用來避免過度研磨物體不同區域所造成的凹陷。低相干干涉方法與系統在這方面有其優勢,因為裝置空間特性,如介質的表面相對高度於(a)銅特徵物502上與(b)基質501上但鄰接銅特徵物502,即使存在多重介面也可以被決定。
光微影技術
在許多微電子應用中,光微影技術被用來圖案化覆蓋於基質上面的光阻層,如矽晶圓片。參考第15A圖與第15B圖,一物體30包括基質,如晶圓片32,與一覆蓋層,如光阻層34。物體30包括複數個介面介於不同反射係數的材料之間。舉例來說,一物體環境介面38定義為光阻層34的外部表面39接觸圍繞物體30之環境(如液體、空氣、其他氣體、或真空)之處。一基質層介面36定義為介於晶圓片32的表面35與光阻層34的下表面37之間。晶圓片的表面35可能包括複數的圖案化特徵29。其中一些特徵具有與鄰近的基質部分相同的高度,但不同的折射係數。其他的特徵可能延伸的較高或較低於鄰近的基質部分。於是介面36可能顯示一埋於光阻層外表面之下的複雜且變動的地形。
光微影裝置將圖案成像至物體。舉例來說,圖案會符合電子電路的元件(或是電路的相反)。成像後光阻的一部分會移除露出埋在移除光阻下的基質。露出的基質可以被蝕刻、覆蓋沉基的材料、或用別的方式修改。留下來的光阻保護基質的其他部分不被修改。
要增加生產的效率,有時候要準備超過一個裝置。裝置可以是相同或是不同的。每一個裝置需要已經具有圖案的晶圓片子集。在一些狀況中,圖案連續地成像至不同的子集。連續的成像可以被執行有數個理由。光學像差會妨礙達成適當圖案聚焦品質於大面積的晶圓片上。即使沒有光學像差,晶圓片與光組的空間特性也會妨礙適當的圖案聚焦於大面積的晶圓片上。晶圓片與/光阻的空間特性與聚焦品質之間的關係接下來會討論。
參考第15B圖,物體30顯示有N個子集40i,每一個子集小於整個要被成像的區域41。每一個子集A0i內,空間特性變化,如晶圓片或光阻之高度與斜率的變動,一般小於整個區域41。但是不同子集40i的晶圓片或光阻一般具有不同的高度與斜率。舉例來說,層34顯示厚度Δt 1 與Δt 2 ,改變表面39的高度與斜率。因此,物體的每一個子集合可能會與光微影成像器有不同的空間關係。聚焦的品質關係到此空間關係,如物體與光微影成像器的距離。把不同的物體子集帶到適當的聚焦可能需要改變物體與成像器相對的位置。因為物體高度與斜率的變動,適當的子集聚焦無法藉由決定物體(有關遠離成像子集的一部分物體,如物體側邊43)的位置與配向單獨地完成。
適當的聚焦可以藉由決定要被成像(或要被加工)的物體子集合內的物體空間特性來達成。一旦子集合的位置決定,物體(與/或一部分的光微影成像器)可以被移除,如轉移、旋轉、命名,來修改有關參考的子集合位置,例如一部分的光微影成像器。決定與移動(如果有需要)可以重複對每個要成像的子集合實行。
子集合空間特性的決定可以包括決定物體一薄層之外表面一個或多個點的位置與/或高度,位於物體子集合內部一個或多個點要被成像。舉例來說,子集合402的外部表面39的位置或配向(第15A圖)可以根據子集合內點421-423的位置來決定。要被成像的子集合空間特性的決定可包括使用干涉儀及光來照射子集合與偵測包括光由照射的子集合所反射的干涉信號。在一些實施例中。複數的子集合同步地被光照射成像以獲得複數的干涉信號。每一個干涉信號代表子集合一個或多個的空間特性。因此,干涉信號可以使用於準備代表複數子集合上的物體之地形影像。子集合進行光微影期間,晶圓片的放置會根據由複數干涉信號所決定的個別子集合的地形。因此每一個子集合可以被放置來做光微影裝置最佳的聚焦。
從每一個要成像的物體子集合偵測干涉信號可以包括偵測反射來自子集合的光與OPD範圍內的參考光,此參考光至少與偵測光的同調長度一樣長。在一些實施例中,干涉儀配置來使得來自被照射的子集合所反射的光被反射自其他外部介面(如同外部表面39)或內部介面(如同介面36)的光所控制。在一些實施例中,物體的空間特性只根據一部分的干涉信號來決定。舉例來說,如果干涉信號包括兩個或多個重疊的干涉形式,物體的一個空間特性的決定可以根據由物體單一介面的分佈所控制的一部分干涉形式。
焊錫凸塊加工
參考第16A及16B圖,構造1050是經過焊錫凸塊加工所產生的結構範例。構造1050包括基質1051、不可被銲錫沾溼的區域1002、可被銲錫沾濕的區域1003。不可被銲 錫沾濕的區域1002有外部表面1007。可被銲錫沾濕的區域1003有外部表面1009。介面1005形成於不可被銲錫沾濕的區域1002與基質1001之間。
加工時,一塊銲錫1004被放置接觸可被銲錫沾濕的區域1003。銲錫流動後,銲錫形成一牢固的接觸可被銲錫沾濕的區域1003。鄰近的不被沾溼的不可被銲錫沾濕的區域1002的作用像水壩來防止流動的銲錫對結構有不想要的移動。我們想要知道結構的空間特性,包括表面1007、1009的相對高度以及相對於不可被銲錫沾濕的區域1002的銲錫1004的尺寸。如同在此所做的其他討論,構造1050包括複數個介面,每個介面可以造成一種干涉形式。干涉形式之間的重疊妨礙使用習知干涉技術所得的空間特性之正確決定。在此討論的系統與方法的應用允許空間特性正確決定。
由構造1050所決定的空間特性可以被使用來改變製造情形,如不可被銲錫沾濕的區域1002、可被銲錫沾濕的區域1003的沉積時間與每一可被銲錫沾濕的區域1003面積所用銲錫1004的量。另外也可以改變用來流動銲錫的加熱情形,根據空間特性來達成適當的流動與/或防止銲錫的移動。
平面顯示器
在此揭露的干涉量測系統與方法可以使用於平面顯示器的製造上,例如液晶顯示器(liquid crystal display,以下簡稱LCD)。
一般來說,多種不同種類的LCD使用於許多不同的應用中,如LCD TV、桌上型電腦螢幕、筆記型電腦、行動電話、車上衛星定位導航系統、車上與機上娛樂系統等,在此只列舉一部分。雖然特定結構的LCD可以有很多變化,但許多形式的LCD利用相似的結構。參考第17A圖,舉例來說在一些實施例中,LCD面板450由數層所構成,包括兩片玻璃板452、453,兩者由邊緣封條454所連接。玻璃板452與453被間隙464所分離,間隙464中填滿液晶材料。偏光板456與474分別使用在玻璃板453與452的外表面。當結合至LCD時,一個偏光板操作來極化來自顯示器光源的光(如背光源,未顯示),而另一個偏光板則當作分析器,只傳輸極化光平行於偏光板傳輸軸的組成部分。
彩色濾光片476的陣列形成於玻璃板453上,而圖案化的電極層458形成於彩色濾光片476上,為透明導體所形成,一般是氧化銦錫(Indium Tin Oxide,簡稱ITO)。鈍化層460有時也稱為硬化層,一般以SiOx為基礎鍍於電極層458上來電性隔離表面。配向層462(如聚亞醯胺層)配置於鈍化層460上,用來配向間隙464內的液晶材料。
面板450也包括第二電極層472,形成於玻璃板452上。另一個硬化層470形成於電極層472上,而另一個配向層468配置於硬化層470上。在主動矩陣LCD(AM LCD)中,一個電極層一般包括薄膜電晶體(TFT,每一個子畫素會有一個過多個)的陣列或是其他積體電路結構。TFT的3D結構輪廓顯示於第12圖中。
液晶材料為雙折射性並且修正穿過LCD面板的光之極化方向。液晶材料也具有介電異方性,因此對於跨過間隙464的電場感應靈敏。因此當施加電場時液晶分子會改變指向而改變面板的光學性質。藉由控制液晶材料雙折射性與介電異方性,我們可以控制光穿透面板的量。
單元間隙Δg ,如液晶材料的厚度,由支持兩個玻璃板452、453於固定距離的間隔材料466所控制。一般來說,間隔材料可以是圓柱狀或是圓球狀的形式,並具有一直徑等於所想要的單元間隙,或是使用圖案化技術(如傳統的光微影技術)形成於基質上。單元間隙會影響橫跨面板光相位延遲量與液晶材料的分子配向之黏滯性與伸縮性反應,因此單元間隙是一個正確地控制LCD面板製造的重要參數。
一般來說,LCD面板製造關係形成不同層的多個步驟。舉例來說,參考第17B圖,製程499包括平行形成不同的層在每個玻璃板上,接著連接兩個板子形成單元。如圖所示,首先TFT電極形成於第一玻璃板(步驟499A1)。鈍化層形成於TFT電極上(步驟499A2),接著配向層形成於鈍化層上(步驟499A3)。接下來間隔材料配置於配向層上(步驟499A4)。第二玻璃基板的製程一般關係到形成彩色濾光片(步驟499B1)與形成鈍化層於彩色濾光片上(步驟499C1)。而後電極形成於鈍化膜上(步驟499B3),配向膜形成於電極上(步驟499B4)。
將第一與第二玻璃板結合形成單元(步驟499C1),而單元內接著填入液晶材料並且密封(步驟499C2)。密封後將偏光板使用於兩個玻璃板的外表面(步驟499C3),完成完整的LCD面板。顯示於流程圖的步驟結合與順序是只一個說明,一般來說其他的步驟結合與相關的順序可以改變。
而且每一個顯示於流程圖第17B圖的步驟可以包括多個製程步驟。例如,形成TFT電極(一般指畫素電極)於第一玻璃基板關係到許多不同的製程步驟。相同地,形成彩色濾光片於第二玻璃基版也可關係到許多製程步驟。一般形成畫素電極,例如包括多個步驟來形成TFT、ITO電極與不同的連到TFT的匯流線。事實上,形成TFT電極層本質上是形成大型積體電路,而關係到沉積與光微影圖案畫製程步驟,這些製程步驟與使用於傳統積體電路生產有許多相同之處。舉例來說,TFT電極層不同的部分的構成藉由先沉積一層材料(如半導體、導體、非導體)、形成一層光阻於材料層上、接著將光阻曝光於圖案化的輻射。接著形成圖案化的光阻,層。而一部分於圖案化光阻層下的材料層在蝕刻過程時被移除,因而轉移光阻圖案到材料層。最後從基質剝去殘留的光阻,留下圖案化的材料層。這些製程步驟可以重複許多次來放下TFT電極層不同的組成,而相似的沉積與圖案化步驟也常用來形成彩色濾光片。
一般而言,揭露於此的干涉量測技術可以使用於LCD面板的螢幕製造在生產的許多階段上。例如干涉量測技術可以監控使用於LCD面板製造時光阻層的厚度與/或均勻性。如先前所說明,光阻層用於TFT組成與彩色濾光片的光微影圖案化。在一些製程步驟中,光阻層可被研究,在光阻曝光於圖案化的輻射之前藉由低同調干涉量測系統。低同調干涉量測系統可以測量光阻層在玻璃板上一個或多個位置的厚度輪廓。另外此技術可以用於決定光阻層的表面輪廓。不管在哪一個情況,當測量的光阻層特性在指定的容忍範圍內,光阻層可以曝光於所想要的圖案化輻射。當當測量的光阻層特性不在指定的容忍範圍內,光阻層將被剝離玻璃板而沉積新的光阻層。
在一些實施例中,干涉量測技術使用於監控圖案化光阻層的特性。例如,圖案化特徵之關鍵尺寸(如線寬)可以被研究。另外,干涉量測技術可以使用來決定圖案化電阻特徵與光阻層下的特徵之間的覆蓋錯誤。同樣地,當測量的關鍵尺寸與/或覆蓋錯誤在製程窗外,圖案化光阻將被剝離玻璃板而形成新的光阻。
在一些實施例中,干涉量測技術使用於連接間色光微影技術。間色微影技術漸漸被使用於圖案化電阻層所想要的特定厚度變動上。在此揭露的低同調干涉量測技術可以用來監控光阻圖案於間色區的厚度輪廓。此外此技術可被用於決定那些特徵的關鍵尺寸與覆蓋。
在一些實施例中,干涉量測技術可使用來偵測玻璃板上在製程不同階段是否有污染物(如外來粒子)。這樣的污染物會造成顯示面板上的視覺缺陷(如顏色不均勻)最後影響製造商的利潤。這樣的缺陷常常只由視覺檢查來判斷,而在面板組裝後實行。在此揭露的干涉量測技術可以在生產期間對對玻璃板一個或多個點執自動化檢查。當粒子被偵測到,玻璃板污染的表面可以在下一個生產程序之前被清除。漸漸地這個技術的使用可以減低顏色不均勻的缺陷發生,並增進面板品質與減低生產成本。
在其他因子之中,電子特性與單元間隙Δg 相依。單元間隙在生產過程中的控制通常是獲得均勻、有品質的顯示器之關鍵。在一些實施例中,揭露的干涉量測技術可以使用於確保單元間隙具有所需要的均勻性。例如,此技術可用來監控玻璃板上間隔材料的高度與/或位置。監控間隔材料的高度可以,例如,下降跨過顯示器的單元間隙變動率。
在一些狀況下,實際的單元間隙可能會跟間隔材料的尺寸不一樣,因為在組合期間壓力與真空被用來引進液晶媒介,邊緣密封硬化並可能改變尺寸,而添加的液晶材料可能在兩個玻璃板間產生毛細力。添加液晶材料之前與之後,玻璃板上曝露層表面反射光產生表示單元間隙Δg 的干涉圖案。干涉量測信號低同調性質本身或與前述的干涉信號加工技術結合,都可以用來監控包括單元間隙Δg 的單元特性,甚至是在單元中其他層形成的介面出現的製造期間。
範例方法可包括在加入液晶材料之前獲得低同調干涉信號,低同調干涉信號包括代表單元間隙Δg 的干涉圖案。單元間隙(或是單元的其他空間特性)由干涉圖形決定並且可以比較於一特定值。製造條件,如用於玻璃板的壓力或真空可以改變來修改單元間隙Δg ,當特定值與決定的單元間隙之間差異超出容忍度時。這個製程可以重複直到達成想要的單元間隙為止。液晶材料接著被引進單元。液晶媒介要被加入的量可以由測量到的單元空間特性來決定。這可以避免加入過度或是太少到單元中。填滿程序也可以被監控,藉由觀察來自玻璃板上暴露層表面的干涉信號。一旦單元填滿,可獲得額外的低同調干涉圖案來監控單元間隙Δg (或其他空間特性)。再一次,製造條件可以改變使得單元間隙維持或是在容忍範圍內。
一些LCD中,配向層包括提供配向特性給液晶材料的突出結構。舉例來說,一些LCD具有超過一個配向領域給顯示器的每個畫素,在此突出配向結構提供不同的配向領域。低調動干涉量測可以用來測量多種突出的特性,如形狀、線寬、高度、與/或關係到覆蓋的LCD面板特徵之重疊錯誤。當此處的突出結構決定不符要求,可以按需要修補或移除並重建。
一般來說,低同調干涉量測系統可以設定來監控LCD面板生產的不同階段。在一些實施例中,包括干涉量測系統的檢查台可被獨自設定於生產線上。例如,監控台可被安裝於乾淨的生產環境,在此執行光微影步驟。交付玻璃板給檢查台(或是自檢查台移走)可以完全自動化、機械化執行。另外檢查台可以被設立在離開生產線之處。例如在此顯示器樣品要被測試,而樣本可以從生產線重新回收並且做下線測試。
參考第17C圖,範例檢查台4000包括一桌子4030,桌子4030包括起重台架4020,起重台架4020上面裝載干涉量測感應器4010(如先前所揭露的干涉量測顯微鏡)。桌子4030(可包括震動隔離軸承)支撐LCD面板4001(或玻璃板)與針對感應器4010放置面板4001。感應器4010裝載於起重台架4020並透過一軌道允許感應器以箭頭4012的方向前後移動。起重台架4020裝載於桌子4030於軌道上,並允許起重台架4020以箭頭4014的方向來回移動。利用這個方法,檢查台4000可以移動感應器4010來檢查顯示面板4001上的任何位置。
檢查台4000也包括控制電子設備4050,控制適當的位置給感應器4010並且獲得來自感應器4010的信號,此信號包括面板4001的資訊。利用這個方法,控制電子設備4050可以利用獲得數據來座標化感應器。
雷射劃線與切割
雷射可用來預先對物體劃線,分開不同、但同時生產的結構,例如微電子結構。分開的品質關係到劃線狀況,如雷射聚焦大小、雷射功率、物體的遷移率、與劃線深度。因為結構特徵的密度可能較大,劃線線條可能要鄰接結構薄膜或層。關係到薄膜或層的介面可以產生干涉圖形,干涉圖形在使用干涉量測決定劃線深度時顯現。在此揭露的方法與系統可以被使用來決定劃線深度,即使在如此相鄰的膜或層內。
範例方法可以包括對一個或多個電子結構劃線並沿著劃線線條分離結構。在分離之前與/或之後,低同調干涉信號可以使用來決定劃線深度。我們也知道其他劃線條件,如雷射光點尺寸、雷射功率、遷移率。劃線深度可以由干涉信號來決定。分離的品質可以是劃線條件的函數,其中包括劃線深度,此函數可藉由計算分離的結構來決定。根據這樣的決定,劃線條件所需要達成的分離品質可以被決定。持續的製造過程中,低同調干涉信號可以由劃線區域獲得來監視製程。劃線條件可以改變來維持或是讓劃線特性在容忍範圍內。
本發明的數個實施例已經說明完畢,其他實施例將於申請專利範圍當中。
100...干涉量測系統
110...照射光
120...米洛干涉量測物體組合
105...來源模組
15...分束器
125...物鏡
130‧‧‧參考平面
135‧‧‧參考鏡
140‧‧‧分束器
145‧‧‧物體
150‧‧‧測量光
155‧‧‧參考光
160‧‧‧像鏡
165‧‧‧偵測器
167‧‧‧電腦
170‧‧‧壓電轉換器
175‧‧‧PZT致動器
180‧‧‧延伸來源
185‧‧‧鏡頭
190‧‧‧孔徑
195‧‧‧瞳孔平面
197‧‧‧極化光學元件
500‧‧‧裝置
501‧‧‧基質
502‧‧‧銅特徵物
504‧‧‧介質
506‧‧‧表面
508‧‧‧介面
510‧‧‧介面
500’‧‧‧裝置
502’‧‧‧銅特徵物
504’‧‧‧介質
506’‧‧‧表面
508’‧‧‧介面
29‧‧‧圖案化特徵
30‧‧‧物體
32‧‧‧晶圓片
34‧‧‧光阻層
35‧‧‧表面
37‧‧‧下表面
38‧‧‧環境介面
39‧‧‧外部表面
40i‧‧‧子集
41‧‧‧區域
42i‧‧‧子集合內點
1050‧‧‧構造
1002‧‧‧不可被銲錫沾濕的區域
1003‧‧‧可被銲錫沾濕的區域
1004‧‧‧銲錫
1005‧‧‧介面
1007‧‧‧外部表面
1009‧‧‧外部表面
1051‧‧‧基質
450...LCD面板
452...玻璃板
453...玻璃板
454...邊緣封條
456...偏光板
458...電極層
460...鈍化層
462...配向層
464...間隙
466...間隔材料
468...配向層
470...硬化層
472...第二電極層
474...偏光板
476...彩色濾光片
499...製程
4000...檢查台
4001...LCD面板
4010...感應器
4012...箭頭
4014...箭頭
4020...起重台架
4030...桌子
4050...控制電子設備
第1圖為米洛式掃瞄干涉系統的概略圖。
第2圖為用來決定表面結構的干涉量測流程圖。
第3圖顯示干涉量測信號的例子。
第4圖顯示模型信號的例子。
第5圖顯示用於不同薄膜厚度的模型信號的例子。
第6圖為程式庫搜尋的流程圖。
第7圖為干涉量測信號與模型信號的相關性方程式曲線圖。
第8圖顯示對於干涉量測信號與模型信號的傅立葉頻譜實部與虛部曲線。
第9圖顯示比較干涉量測信號與搭配模型信號的曲線。
第10圖為模型信號對於不同薄膜厚度的價值函數曲線。
第11圖顯示具有薄膜的基質上一蝕刻的溝之2D輪廓曲線。
第12圖顯示平面面板顯示器的TFT區域之3D輪廓曲線。
第13圖顯示關係到薄膜干涉量測信號的傅立葉振幅與傅立案相位。
第14A圖為由沉積在基質上的銅特徵物上再沉積介質所構成的膜結構之裝置範例圖。
第14B圖為經過化學機械加工後顯示於第14A圖的裝置概略圖。
第15A圖為顯示包括基質(例如晶圓片與覆蓋層(如光阻層))的物體上視概略圖。
第15B圖為顯示物體的側視概略圖。
第16A圖為適合用於銲錫凸塊加工的結構概略圖。
第16B圖為銲錫凸塊加工後第16A圖結構之概略圖。
第17A圖為LCD面板具有多層結構之概略圖
第17B圖為顯示於LCD面板生產中不同的步驟的流程圖。
第17C圖為用於LCD面板的包括干涉量測感應器的檢查台之實施例圖。

Claims (57)

  1. 一種分析表面構造之方法,包括:比較來自測試物的一個位置所得的掃瞄干涉量測信號與對應於不同模型參數的每一個多重模型信號,藉以模型化測試物;其中對於上述每一個模型信號,比較的方法包括計算上述掃瞄干涉量測信號與上述模型信號之間的相關函數來辨明上述干涉量測信號與上述模型信號之間的表面高度落差,與根據辨明的表面高度落差來計算高度落差補償價值,上述高度落差補償價值代表對於一般表面高度之上述掃瞄干涉量測信號與上述模型信號之間的相似度,其中計算上述表面高度落差補償價值包括利用一具有對應上述辨明的表面高度落差的斜率之線性相位項,補償上述掃瞄干涉量測信號的頻率域表示或上述模型信號的頻率域表示,與接著上述相位補償之後量化上述掃瞄干涉量測信號與上述模型信號的相似度;以及根據對於上述不同模型信號的上述個別價值,決定上述測試物之上述位置之測試物參數。
  2. 如同申請專利範圍第1項所述之分析表面構造之方法,其中上述計算的相關函數是根據上述掃瞄干涉量測信號的頻率域表示與上述模型信號的頻率域表示。
  3. 如同申請專利範圍第2項所述之分析表面構造之方法,其中計算上述相關函數包括將上述掃瞄干涉量測信號的頻率域表示與上述模型信號的上述頻率域表示之乘積逆 轉換至掃瞄座標域。
  4. 如同申請專利範圍第1項所述之分析表面構造之方法,其中上述辨明的表面高度落差對應上述計算的相關函數中的峰值。
  5. 如同申請專利範圍第4項所述之分析表面構造之方法,其中上述峰值藉由內插掃瞄位置間的上述相關函數來決定。
  6. 如同申請專利範圍第1項所述之分析表面構造之方法,其中辨明上述表面高度落差包括決定上述掃瞄干涉量測信號與上述模型信號之間的相位差。
  7. 如同申請專利範圍第6項所述之分析表面構造之方法,其中決定上述相位差包括決定上述相關函數於峰值的複數相位。
  8. 如同申請專利範圍第1項所述之分析表面構造之方法,其中上述相位補償使用於上述掃瞄干涉量測信號的上述頻率域表示,來產生對應於一般用來模型化上述模型信號的表面高度的上述掃瞄干涉量測信號之頻率域表示。
  9. 如同申請專利範圍第1項所述之分析表面構造之方法,其中接著上述相位補償之後量化上述掃瞄干涉量測信號與上述模型信號的相似度在上述頻率域中執行。
  10. 如同申請專利範圍第1項所述之分析表面構造之方法,其中上述干涉量測信號的上述頻率域表示之上述相位補償包括將頻譜成分乘上一線性相位因子exp(-iKζ offset ),其中K是條紋頻率成分,ζ offset 是上述辨明的表面高度落 差。
  11. 如同申請專利範圍第1項所述之分析表面構造之方法,其中上述干涉量測信號的上述頻率域表示之上述相位補償包括將頻譜成分乘上一相位因子exp(-iA peak ),其中A peak 是在上述計算的相關函數的峰值的上述複數相位。
  12. 如同申請專利範圍第1項所述之分析表面構造之方法,其中上述干涉量測信號的上述頻率域表示之上述相位補償包括移除頻譜內相位改變的線性部分。
  13. 如同申請專利範圍第1項所述之分析表面構造之方法,其中上述相位補償包括移除由上述掃瞄干涉量測信號與上述模型信號之間的表面高度落差所形成的干涉量測頻譜與模型頻譜之相位差異。
  14. 如同申請專利範圍第1項所述之分析表面構造之方法,其中計算上述高度落差補償價值是根據上述掃瞄干涉量測信號的頻率域表示與上述模型信號的頻率域表示。
  15. 如同申請專利範圍第1項所述之分析表面構造之方法,其中計算上述高度落差補償價值限制於上述頻率域內關注的區域。
  16. 如同申請專利範圍第1項所述之分析表面構造之方法,其中計算上述高度落差補償價值是根據相位補償干涉量測頻譜與模型頻譜間的最小平方差。
  17. 如同申請專利範圍第1項所述之分析表面構造之方法,其中計算上述高度落差補償價值是根據位於峰值位置的上述相關函數之複數相位。
  18. 如同申請專利範圍第1項所述之分析表面構造之方法,其中計算上述高度落差補償價值是根據位於峰值位置的上述相關函數之上述峰值。
  19. 如同申請專利範圍第1項所述之分析表面構造之方法,其中計算上述高度落差補償價值是根據標準化上述掃瞄干涉量測信號的頻率域表示或上述模型信號的頻率域表示。
  20. 如同申請專利範圍第1項所述之分析表面構造之方法,其中對應上述模型信號的上述模型參數包括一個或多個薄膜厚度或薄膜係數。
  21. 如同申請專利範圍第1項所述之分析表面構造之方法,其中對應上述模型信號的上述模型參數包括一個或多個關係到解析下的表面特徵之參數。
  22. 如同申請專利範圍第21項所述之分析表面構造之方法,其中上述解析下的表面特徵是定義繞射光柵的陣特徵。
  23. 如同申請專利範圍第1項所述之分析表面構造之方法,其中決定測試物參數包括決定超過一個根據個別價值的測試物體參數。
  24. 如同申請專利範圍第1項所述之分析表面構造之方法,其中上述決定的測試物參數對應一個或多個表面高度、薄膜厚度與薄膜折射係數。
  25. 如同申請專利範圍第1項所述之分析表面構造之方法,其中上述決定的測試物參數對應一個或多個上述模 型信號的模型參數。
  26. 如同申請專利範圍第1項所述之分析表面構造之方法,其中決定測試物參數包括根據比較上述高度落差補償價值來辨明搭配模型信號。
  27. 如同申請專利範圍第26項所述之分析表面構造之方法,其中決定上述測試物參數是根據上述搭配模型信號。
  28. 如同申請專利範圍第1項所述之分析表面構造之方法,其中決定上述測試物參數包括根據於峰值的上述相關函數之複數相位的修正。
  29. 如同申請專利範圍第1項所述之分析表面構造之方法,更包括輸出上述測試物參數。
  30. 如同申請專利範圍第1項所述之分析表面構造之方法,其中對於每一個對應上述測試物的不同表面位置的多重掃瞄干涉量測信號,會重複比較掃瞄干涉量測信號及多重模型信號與決定測試物參數。
  31. 如同申請專利範圍第30項所述之分析表面構造之方法,更包括獲得上述掃瞄干涉量測信號給上述多個表面位置。
  32. 如同申請專利範圍第30項所述之分析表面構造之方法,其中給上述多個表面位置的上述掃瞄干涉量測信號是利用將多個位置成像至影像偵測器的掃瞄干涉儀來獲得。
  33. 如同申請專利範圍第1項所述之分析表面構造之 方法,其中上述干涉量測信號的獲得是藉由在偵測器上成像上述測試物所顯現的光來與參考光產生干涉,並且變動從一般光源至上述偵測器間上述測試光與上述參考光的干涉部分光程差,其中上述測試光與上述參考光來自上述一般光源,其中當光程差變動時,上述干涉量測信號對應偵測器所量測的干涉強度。
  34. 如同申請專利範圍第33項所述之分析表面構造之方法,其中上述測試光與上述參考光具有大於上述測試光與上述參考光中心頻率5%的頻寬。
  35. 如同申請專利範圍第33項所述之分析表面構造之方法,其中上述一般來源具有頻譜同調長度,上述光程差在一個大於頻譜同調長度的範圍內變動,以產生上述掃瞄干涉量測信號。
  36. 如同申請專利範圍第33項所述之分析表面構造之方法,其中用來導引測試光至上述測試物及成像至上述偵測器的光學元件定義了給上述測試光大於0.8的數值孔徑。
  37. 如同申請專利範圍第1項所述之分析表面構造之方法,更包括解釋系統貢獻至上述掃瞄干涉量測信號,上述掃瞄干涉量測信號由用來獲得上述掃瞄干涉量測信號的掃瞄干涉儀系統所產生。
  38. 如同申請專利範圍第37項所述之分析表面構造之方法,更包括使用具有已知特性的測試物來校準上述掃瞄干涉量測系統的系統貢獻。
  39. 一種分析表面構造之干涉儀,包括:一個配置用來從一物體的表面位置獲得掃瞄干涉量測信號的光學系統;以及一個包括程式碼的處理器,配置來:1)接收對應不同模型信號多重模型信號來模型化測試物、比較上述掃瞄干涉量測信號與每一個上述多重模型信號,其中對於每一個上述多重模型信號,比較的方法包括計算上述掃瞄干涉量測信號與上述模型信號之間的相關函數來辨明上述干涉量測信號與上述模型信號之間的表面高度落差、與根據辨明的表面高度落差來計算高度落差補償價值,上述高度落差補償價值代表對於一般表面高度之上述掃瞄干涉量測信號與上述模型信號之間的相似度,其中上述程式碼更配置來利用一具有對應上述辨明的表面高度落差的斜率之線性相位項,補償上述掃瞄干涉量測信號的頻率域表示或上述模型信號的頻率域表示,與接著上述相位補償之後量化上述掃瞄干涉量測信號與上述模型信號的相似度;以及2)根據對於上述不同模型信號的上述個別價值,決定上述測試物之上述位置之測試物參數。
  40. 如同申請專利範圍第39項所述之分析表面構造之干涉儀,其中上述程式碼更配置來轉換上述掃瞄干涉量測信號與上述模型信號至上述頻率域並根據轉換的信號計算上述相關函數。
  41. 如同申請專利範圍第39項所述之分析表面構造之 干涉儀,其中上述處理器更包括程式碼配置來產生一根據模型參數的上述模型信號。
  42. 如同申請專利範圍第39項所述之分析表面構造之干涉儀,其中上述程式碼也配置來決定關係到上述測試物表面的測試物參數圖。
  43. 如同申請專利範圍第42項所述之分析表面構造之干涉儀,其中上述測試物參數圖是根據高度參數。
  44. 如同申請專利範圍第42項所述之分析表面構造之干涉儀,其中上述測試物參數圖是根據薄膜參數。
  45. 如同申請專利範圍第42項所述之分析表面構造之干涉儀,其中上述測試物參數圖是根據解析下的表面結構參數。
  46. 如同申請專利範圍第39項所述之分析表面構造之干涉儀,其中上述處理器更配置來輸出關於上述決定的測試物參數之資訊。
  47. 如同申請專利範圍第39項所述之分析表面構造之干涉儀,其中上述光學系統包括一多元件偵測器,配置來從上述物體每一個多重表面位置獲得干涉量測信號,其中上述處理器配置來根據上述獲得的干涉量測信號來決定有關每一個多重表面位置的測試物參數的資訊。
  48. 一種用來製造顯示器面板的製程,包括:提供上述顯示器面板的組件;決定關於使用如同申請專利範圍第1項所述之分析表面構造之方法的上述組件資訊,其中上述組件對應上述測 試物,而上述資訊根據上述測試物參數;以及使用上述組件形成上述顯示器面板。
  49. 如同申請專利範圍第48項所述之用來製造顯示器面板的製程,其中上述組件包括一對由間隙所分開的基質以及關於上述間隙的資訊。
  50. 如同申請專利範圍第49項所述之用來製造顯示器面板的製程,其中形成上述顯示器面板包括根據上述資訊調整上述間隙。
  51. 如同申請專利範圍第49項所述之用來製造顯示器面板的製程,其中形成上述顯示器面板包括將上述間隙填入液晶材料。
  52. 如同申請專利範圍第48項所述之用來製造顯示器面板的製程,其中其中上述組件包括一基質與上述基質上的阻抗層。
  53. 如同申請專利範圍第52項所述之用來製造顯示器面板的製程,其中上述資訊包括上述阻抗層的厚度。
  54. 如同申請專利範圍第52項所述之用來製造顯示器面板的製程,其中上述阻抗層是一圖案化層,並且上述資訊包括上述圖案化層的特徵維度或重疊錯誤。
  55. 如同申請專利範圍第52項所述之用來製造顯示器面板的製程,其中形成上述顯示器面板包括蝕刻上述阻抗層下的材料層。
  56. 如同申請專利範圍第48項所述之用來製造顯示器面板的製程,其中上述組件包括一包括間隔材料的基質以 及關於上述間隔材料的資訊。
  57. 如同申請專利範圍第56項所述之用來製造顯示器面板的製程,其中形成上述顯示器面板包括根據上述資訊修改上述間隔材料。
TW097148506A 2007-12-14 2008-12-12 利用掃瞄干涉儀分析表面構造之方法與裝置 TWI439662B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US1373207P 2007-12-14 2007-12-14

Publications (2)

Publication Number Publication Date
TW200940948A TW200940948A (en) 2009-10-01
TWI439662B true TWI439662B (zh) 2014-06-01

Family

ID=40796099

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097148506A TWI439662B (zh) 2007-12-14 2008-12-12 利用掃瞄干涉儀分析表面構造之方法與裝置

Country Status (6)

Country Link
US (1) US8126677B2 (zh)
EP (1) EP2232195B1 (zh)
JP (1) JP5290322B2 (zh)
KR (1) KR101254161B1 (zh)
TW (1) TWI439662B (zh)
WO (1) WO2009079334A2 (zh)

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009009831A1 (en) * 2007-07-18 2009-01-22 Iatia Imaging Pty Ltd Method and apparatus for determining the surface profile of an object
WO2011024170A1 (en) * 2009-08-31 2011-03-03 Brightview Systems Ltd. A method and apparatus for thin film quality control in a batch manufacturing layout
JP2011089897A (ja) * 2009-10-22 2011-05-06 Mitsutoyo Corp 形状測定装置及び形状データの位置合わせ方法
US8275573B1 (en) * 2009-11-23 2012-09-25 Bruker Nano, Inc. Large-surface defect detection by single-frame spatial-carrier interferometry
FR2959305B1 (fr) * 2010-04-26 2014-09-05 Nanotec Solution Dispositif optique et procede d'inspection d'objets structures.
JP5504068B2 (ja) * 2010-06-23 2014-05-28 Dmg森精機株式会社 変位検出装置
US8854628B2 (en) * 2010-09-22 2014-10-07 Zygo Corporation Interferometric methods for metrology of surfaces, films and underresolved structures
US8447549B2 (en) 2011-02-11 2013-05-21 Quality Vision International, Inc. Tolerance evaluation with reduced measured points
US9052497B2 (en) 2011-03-10 2015-06-09 King Abdulaziz City For Science And Technology Computing imaging data using intensity correlation interferometry
US9099214B2 (en) 2011-04-19 2015-08-04 King Abdulaziz City For Science And Technology Controlling microparticles through a light field having controllable intensity and periodicity of maxima thereof
US8818754B2 (en) * 2011-04-22 2014-08-26 Nanometrics Incorporated Thin films and surface topography measurement using reduced library
JP5740230B2 (ja) * 2011-07-12 2015-06-24 株式会社アルバック 走査型白色干渉計による試料の表面形状の測定方法
US20130017762A1 (en) * 2011-07-15 2013-01-17 Infineon Technologies Ag Method and Apparatus for Determining a Measure of a Thickness of a Polishing Pad of a Polishing Machine
EP2677271B1 (en) * 2012-06-18 2017-04-26 Mitutoyo Corporation Broadband interferometer for determining a property of a thin film
EP3656196B1 (en) * 2012-10-24 2022-08-03 Precision Planting LLC Agricultural trench depth sensing apparatus
TWI456161B (zh) * 2012-12-21 2014-10-11 Univ Nan Kai Technology 以光學平衡偵測為基礎之二維表面輪廓量測干涉儀架構
JP2016507752A (ja) * 2013-02-12 2016-03-10 ザイゴ コーポレーションZygo Corporation 表面色を備えた表面トポグラフィ干渉計
KR20140114169A (ko) * 2013-03-18 2014-09-26 삼성전자주식회사 기판의 결함 깊이 추정 장치 및 방법
US9696264B2 (en) * 2013-04-03 2017-07-04 Kla-Tencor Corporation Apparatus and methods for determining defect depths in vertical stack memory
KR101486271B1 (ko) 2013-05-13 2015-01-27 한국표준과학연구원 삼차원 박막 두께 형상 측정 방법
KR101622538B1 (ko) * 2013-05-20 2016-05-19 주식회사 고영테크놀러지 주파수 주사 간섭계를 이용한 형상 측정장치
US20150022658A1 (en) * 2013-07-16 2015-01-22 University Of North Carolina At Charlotte Noise reduction techniques, fractional bi-spectrum and fractional cross-correlation, and applications
US10373301B2 (en) * 2013-09-25 2019-08-06 Sikorsky Aircraft Corporation Structural hot spot and critical location monitoring system and method
US8952329B1 (en) * 2013-10-03 2015-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. 3D image profiling techniques for lithography
US10254110B2 (en) * 2013-12-18 2019-04-09 Nanometrics Incorporated Via characterization for BCD and depth metrology
EP3092459B1 (en) 2014-01-09 2018-05-02 Zygo Corporation Measuring topography of aspheric and other non-flat surfaces
US9589853B2 (en) * 2014-02-28 2017-03-07 Lam Research Corporation Method of planarizing an upper surface of a semiconductor substrate in a plasma etch chamber
US9530636B2 (en) 2014-03-20 2016-12-27 Kla-Tencor Corporation Light source with nanostructured antireflection layer
KR102549714B1 (ko) * 2014-04-07 2023-06-29 노바 엘티디. 광학 위상 측정 방법 및 시스템
GB2529131B (en) * 2014-05-06 2019-06-05 Taylor Hobson Ltd Method and apparatus for characterising instrument error
KR102254033B1 (ko) * 2014-06-13 2021-05-20 삼성전자주식회사 광학 측정 방법 및 광학 측정 시스템
US9632038B2 (en) 2014-08-20 2017-04-25 Kla-Tencor Corporation Hybrid phase unwrapping systems and methods for patterned wafer measurement
KR102313350B1 (ko) * 2014-09-02 2021-10-15 삼성전자주식회사 광학 측정 방법 및 광학 측정 장치
US10991049B1 (en) 2014-09-23 2021-04-27 United Services Automobile Association (Usaa) Systems and methods for acquiring insurance related informatics
US9262819B1 (en) * 2014-09-26 2016-02-16 GlobalFoundries, Inc. System and method for estimating spatial characteristics of integrated circuits
KR101722815B1 (ko) * 2014-09-29 2017-04-03 주식회사 엘지화학 시료의 표면 측정 방법 및 장치
WO2016115075A1 (en) 2015-01-13 2016-07-21 Sikorsky Aircraft Corporation Structural health monitoring employing physics models
GB2536218B (en) * 2015-03-07 2018-08-15 Redlux Ltd White light interferometer
US10489863B1 (en) 2015-05-27 2019-11-26 United Services Automobile Association (Usaa) Roof inspection systems and methods
KR102530523B1 (ko) * 2015-09-07 2023-05-11 에스케이하이닉스 주식회사 라이브러리 생성 장치, 패턴 분석 장치, 이를 이용하는 반도체 패턴 계측 시스템 및 방법
JP6762608B2 (ja) * 2016-09-06 2020-09-30 株式会社日立ハイテクサイエンス 走査型白色干渉顕微鏡を用いた三次元形状計測方法
WO2018067243A1 (en) * 2016-10-04 2018-04-12 Kla-Tencor Corporation Expediting spectral measurement in semiconductor device fabrication
US10288408B2 (en) 2016-12-01 2019-05-14 Nanometrics Incorporated Scanning white-light interferometry system for characterization of patterned semiconductor features
CN106643558A (zh) * 2017-03-06 2017-05-10 中国科学院光电技术研究所 一种基于相位纵向拼接的宽光谱干涉形貌检测方法
CN108662992B (zh) * 2017-03-31 2020-10-16 均豪精密工业股份有限公司 表面量测方法及表面量测系统
EP3388779A1 (fr) * 2017-04-11 2018-10-17 Université de Strasbourg Systeme et procede de metrologie optique en super resolution a l'echelle nanometrique en champ lointain
DE102017115922C5 (de) * 2017-07-14 2023-03-23 Precitec Gmbh & Co. Kg Verfahren und Vorrichtung zur Messung und Einstellung eines Abstands zwischen einem Bearbeitungskopf und einem Werkstück sowie dazugehöriges Verfahren zur Regelung
US10451979B2 (en) 2017-09-29 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for EUV lithography and method of measuring focus
WO2019079323A1 (en) * 2017-10-17 2019-04-25 California Institute Of Technology UNDERGROUND IMAGING OF DIELECTRIC STRUCTURES AND EMPTYES BY NARROW-BAND ELECTROMAGNETIC RESONANT DIFFUSION
TWI794416B (zh) * 2018-02-28 2023-03-01 美商賽格股份有限公司 多層堆疊結構之計量方法及干涉儀系統
JP6598910B2 (ja) * 2018-03-20 2019-10-30 本田技研工業株式会社 歯面測定方法
JP2019191087A (ja) * 2018-04-27 2019-10-31 株式会社日立ハイテクサイエンス 干渉信号の位相補正方法
CN108917626A (zh) 2018-08-01 2018-11-30 深圳中科飞测科技有限公司 一种检测装置及检测方法
US11011435B2 (en) * 2018-11-20 2021-05-18 Asm Technology Singapore Pte Ltd Apparatus and method inspecting bonded semiconductor dice
FR3089286B1 (fr) * 2018-11-30 2022-04-01 Unity Semiconductor Procédé et système pour mesurer une surface d’un objet comprenant des structures différentes par interférométrie à faible cohérence
JP2021009128A (ja) * 2019-07-03 2021-01-28 株式会社ミツトヨ 白色光の干渉により現れる色を用いた測定装置、システム及びプログラム
US11112231B2 (en) * 2019-11-01 2021-09-07 Applied Materials, Inc. Integrated reflectometer or ellipsometer
CN111386441B (zh) 2020-02-24 2021-02-19 长江存储科技有限责任公司 用于半导体芯片表面形貌计量的系统
WO2021168612A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
WO2021168613A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
CN111356897B (zh) * 2020-02-24 2021-02-19 长江存储科技有限责任公司 用于半导体芯片表面形貌计量的系统和方法
US20230168075A1 (en) * 2020-04-29 2023-06-01 Arizona Board Of Regents On Behalf Of The University Of Arizona Compact snapshot dual-mode interferometric system
KR102571018B1 (ko) * 2020-12-29 2023-08-25 중앙대학교 산학협력단 Cmp 연마패드 표면 거칠기 측정을 위한 장치 및 방법
US11703461B2 (en) * 2021-03-26 2023-07-18 Arun Anath Aiyer Optical sensor for surface inspection and metrology
IL307209A (en) * 2021-03-26 2023-11-01 Arun Anath Aiyer Optical sensor for surface inspection and metrology
US11761753B2 (en) 2021-07-30 2023-09-19 Svarog LLC Thin films and surface topography measurement using polarization resolved interferometry
CN113720282B (zh) * 2021-08-25 2022-11-25 广东奥普特科技股份有限公司 极耳的平面度测量方法及测量装置
KR20230048655A (ko) * 2021-10-05 2023-04-12 삼성전자주식회사 웨이퍼 검사 방법 및 이를 수행하기 위한 장치
CN114593690B (zh) * 2022-03-22 2024-01-30 深圳市鹰眼在线电子科技有限公司 一种新型的白光干涉仪结构

Family Cites Families (174)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2612074A (en) * 1949-03-30 1952-09-30 Prec Mecanique Paris Soc Interferometer
US4199219A (en) * 1977-04-22 1980-04-22 Canon Kabushiki Kaisha Device for scanning an object with a light beam
US4188122A (en) * 1978-03-27 1980-02-12 Rockwell International Corporation Interferometer
US4340306A (en) * 1980-02-04 1982-07-20 Balasubramanian N Optical system for surface topography measurement
US4355903A (en) * 1980-02-08 1982-10-26 Rca Corporation Thin film thickness monitor
DE3145633A1 (de) * 1981-11-17 1983-08-11 Byk-Mallinckrodt Chemische Produkte Gmbh, 4230 Wesel Vorrichtung zur farbmessung
US4576479A (en) * 1982-05-17 1986-03-18 Downs Michael J Apparatus and method for investigation of a surface
US4523846A (en) * 1982-09-10 1985-06-18 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Integrated optics in an electrically scanned imaging Fourier transform spectrometer
JPS60127403A (ja) * 1983-12-13 1985-07-08 Anritsu Corp 厚み測定装置
US4618262A (en) * 1984-04-13 1986-10-21 Applied Materials, Inc. Laser interferometer system and method for monitoring and controlling IC processing
US4710642A (en) * 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
US4639139A (en) * 1985-09-27 1987-01-27 Wyko Corporation Optical profiler using improved phase shifting interferometry
US4818110A (en) * 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
US4806018A (en) * 1987-07-06 1989-02-21 The Boeing Company Angular reflectance sensor
US4869593A (en) * 1988-04-22 1989-09-26 Zygo Corporation Interferometric surface profiler
US4923301A (en) * 1988-05-26 1990-05-08 American Telephone And Telegraph Company Alignment of lithographic system
US4964726A (en) * 1988-09-27 1990-10-23 General Electric Company Apparatus and method for optical dimension measurement using interference of scattered electromagnetic energy
US4948253A (en) * 1988-10-28 1990-08-14 Zygo Corporation Interferometric surface profiler for spherical surfaces
GB8903725D0 (en) * 1989-02-18 1989-04-05 Cambridge Consultants Coherent tracking sensor
US5042949A (en) * 1989-03-17 1991-08-27 Greenberg Jeffrey S Optical profiler for films and substrates
US4999014A (en) 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5042951A (en) * 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
US5073018A (en) * 1989-10-04 1991-12-17 The Board Of Trustees Of The Leland Stanford Junior University Correlation microscope
DE3942896A1 (de) * 1989-12-23 1991-06-27 Zeiss Carl Fa Interferometrischer sensor zur messung von abstandsaenderungen einer kleinen flaeche
US5112129A (en) * 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
US5135307A (en) * 1990-05-30 1992-08-04 Hughes Danbury Optical System, Inc. Laser diode interferometer
US5241369A (en) * 1990-10-01 1993-08-31 Mcneil John R Two-dimensional optical scatterometer apparatus and process
US5129724A (en) * 1991-01-29 1992-07-14 Wyko Corporation Apparatus and method for simultaneous measurement of film thickness and surface height variation for film-substrate sample
US5164790A (en) * 1991-02-27 1992-11-17 Mcneil John R Simple CD measurement of periodic structures on photomasks
DE69231715D1 (de) 1991-03-04 2001-04-12 At & T Corp Herstellungsverfahren von integrierten Halbleiterschaltungen unter Anwendung von latenten Bildern
DE4108944A1 (de) 1991-03-19 1992-09-24 Haeusler Gerd Verfahren und einrichtung zur beruehrungslosen erfassung der oberflaechengestalt von diffus streuenden objekten
US5153669A (en) * 1991-03-27 1992-10-06 Hughes Danbury Optical Systems, Inc. Three wavelength optical measurement apparatus and method
US5194918A (en) * 1991-05-14 1993-03-16 The Board Of Trustees Of The Leland Stanford Junior University Method of providing images of surfaces with a correlation microscope by transforming interference signals
US5173746A (en) * 1991-05-21 1992-12-22 Wyko Corporation Method for rapid, accurate measurement of step heights between dissimilar materials
US5133601A (en) * 1991-06-12 1992-07-28 Wyko Corporation Rough surface profiler and method
US5204734A (en) * 1991-06-12 1993-04-20 Wyko Corporation Rough surface profiler and method
JPH05304627A (ja) 1991-08-19 1993-11-16 Fuji Photo Film Co Ltd ビデオカメラのサイドグリップ
US5181080A (en) 1991-12-23 1993-01-19 Therma-Wave, Inc. Method and apparatus for evaluating the thickness of thin films
US5390023A (en) * 1992-06-03 1995-02-14 Zygo Corporation Interferometric method and apparatus to measure surface topography
US5402234A (en) * 1992-08-31 1995-03-28 Zygo Corporation Method and apparatus for the rapid acquisition of data in coherence scanning interferometry
US5384717A (en) * 1992-11-23 1995-01-24 Ford Motor Company Non-contact method of obtaining dimensional information about an object
US5398113A (en) 1993-02-08 1995-03-14 Zygo Corporation Method and apparatus for surface topography measurement by spatial-frequency analysis of interferograms
US5777742A (en) 1993-03-11 1998-07-07 Environmental Research Institute Of Michigan System and method for holographic imaging with discernible image of an object
DE4309056B4 (de) 1993-03-20 2006-05-24 Häusler, Gerd, Prof. Dr. Verfahren und Vorrichtung zur Ermittlung der Entfernung und Streuintensität von streuenden Punkten
US5386119A (en) * 1993-03-25 1995-01-31 Hughes Aircraft Company Apparatus and method for thick wafer measurement
JPH074922A (ja) * 1993-06-21 1995-01-10 Jasco Corp 半導体多層薄膜膜厚測定装置およびその測定方法
EP0767361B1 (en) 1993-07-22 2000-02-23 Applied Spectral Imaging Ltd. Method and apparatus for spectral imaging
US5856871A (en) 1993-08-18 1999-01-05 Applied Spectral Imaging Ltd. Film thickness mapping using interferometric spectral imaging
US5481811A (en) 1993-11-22 1996-01-09 The Budd Company Universal inspection workpiece holder
US5483064A (en) 1994-01-21 1996-01-09 Wyko Corporation Positioning mechanism and method for providing coaxial alignment of a probe and a scanning means in scanning tunneling and scanning force microscopy
US5459564A (en) 1994-02-18 1995-10-17 Chivers; James T. Apparatus and method for inspecting end faces of optical fibers and optical fiber connectors
US5471303A (en) 1994-04-29 1995-11-28 Wyko Corporation Combination of white-light scanning and phase-shifting interferometry for surface profile measurements
US5633714A (en) 1994-12-19 1997-05-27 International Business Machines Corporation Preprocessing of image amplitude and phase data for CD and OL measurement
US5555471A (en) 1995-05-24 1996-09-10 Wyko Corporation Method for measuring thin-film thickness and step height on the surface of thin-film/substrate test samples by phase-shifting interferometry
US5589938A (en) 1995-07-10 1996-12-31 Zygo Corporation Method and apparatus for optical interferometric measurements with reduced sensitivity to vibration
US5703692A (en) 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5748318A (en) 1996-01-23 1998-05-05 Brown University Research Foundation Optical stress generator and detector
US5602643A (en) 1996-02-07 1997-02-11 Wyko Corporation Method and apparatus for correcting surface profiles determined by phase-shifting interferometry according to optical parameters of test surface
US5640270A (en) 1996-03-11 1997-06-17 Wyko Corporation Orthogonal-scanning microscope objective for vertical-scanning and phase-shifting interferometry
US5880838A (en) 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
JP3459327B2 (ja) 1996-06-17 2003-10-20 理化学研究所 積層構造体の層厚および屈折率の測定方法およびその測定装置
US5923423A (en) 1996-09-12 1999-07-13 Sentec Corporation Heterodyne scatterometer for detecting and analyzing wafer surface defects
US5956141A (en) 1996-09-13 1999-09-21 Olympus Optical Co., Ltd. Focus adjusting method and shape measuring device and interference microscope using said focus adjusting method
US5757502A (en) 1996-10-02 1998-05-26 Vlsi Technology, Inc. Method and a system for film thickness sample assisted surface profilometry
US5774224A (en) 1997-01-24 1998-06-30 International Business Machines Corporation Linear-scanning, oblique-viewing optical apparatus
US5777740A (en) 1997-02-27 1998-07-07 Phase Metrics Combined interferometer/polarimeter
US5867276A (en) 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
US5784164A (en) 1997-03-20 1998-07-21 Zygo Corporation Method and apparatus for automatically and simultaneously determining best focus and orientation of objects to be measured by broad-band interferometric means
JP3275797B2 (ja) 1997-09-10 2002-04-22 松下電器産業株式会社 低圧水銀蒸気放電ランプ
US6665078B1 (en) 1997-09-22 2003-12-16 Candela Instruments System and method for simultaneously measuring thin film layer thickness, reflectivity, roughness, surface profile and magnetic pattern in thin film magnetic disks and silicon wafers
US20020015146A1 (en) 1997-09-22 2002-02-07 Meeks Steven W. Combined high speed optical profilometer and ellipsometer
US6031615A (en) 1997-09-22 2000-02-29 Candela Instruments System and method for simultaneously measuring lubricant thickness and degradation, thin film thickness and wear, and surface roughness
US6392749B1 (en) 1997-09-22 2002-05-21 Candela Instruments High speed optical profilometer for measuring surface height variation
US5912741A (en) 1997-10-10 1999-06-15 Northrop Grumman Corporation Imaging scatterometer
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US5900633A (en) 1997-12-15 1999-05-04 On-Line Technologies, Inc Spectrometric method for analysis of film thickness and composition on a patterned sample
US6124141A (en) 1998-01-07 2000-09-26 International Business Machines Corporation Non-destructive method and device for measuring the depth of a buried interface
US5953124A (en) 1998-01-19 1999-09-14 Zygo Corporation Interferometric methods and systems using low coherence illumination
US6028670A (en) 1998-01-19 2000-02-22 Zygo Corporation Interferometric methods and systems using low coherence illumination
US6407816B1 (en) 1998-02-23 2002-06-18 Zygo Corporation Interferometer and method for measuring the refractive index and optical path length effects of air
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
DE19814057B4 (de) 1998-03-30 2009-01-02 Carl Zeiss Meditec Ag Anordnung zur optischen Kohärenztomographie und Kohärenztopographie
US6242739B1 (en) 1998-04-21 2001-06-05 Alexander P. Cherkassky Method and apparatus for non-destructive determination of film thickness and dopant concentration using fourier transform infrared spectrometry
US6275297B1 (en) 1998-08-19 2001-08-14 Sc Technology Method of measuring depths of structures on a semiconductor substrate
JP2000121317A (ja) 1998-10-12 2000-04-28 Hitachi Electronics Eng Co Ltd 光干渉計の干渉位相検出方式
US6159073A (en) 1998-11-02 2000-12-12 Applied Materials, Inc. Method and apparatus for measuring substrate layer thickness during chemical mechanical polishing
JP3569726B2 (ja) 1998-12-15 2004-09-29 独立行政法人理化学研究所 試料の幾何学的厚さおよび屈折率測定装置およびその測定方法
US6184984B1 (en) 1999-02-09 2001-02-06 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
KR100290086B1 (ko) 1999-03-23 2001-05-15 윤덕용 백색광주사간섭법을 이용한 투명한 박막층의 3차원 두께 형상 측정 및 굴절률 측정 방법 및 그 기록매체
US6449066B1 (en) 1999-04-29 2002-09-10 Kaiser Optical Systems, Inc. Polarization insensitive, high dispersion optical element
US6888638B1 (en) 1999-05-05 2005-05-03 Zygo Corporation Interferometry system having a dynamic beam steering assembly for measuring angle and distance
TW477897B (en) 1999-05-07 2002-03-01 Sharp Kk Liquid crystal display device, method and device to measure cell thickness of liquid crystal display device, and phase difference plate using the method thereof
US6507405B1 (en) 1999-05-17 2003-01-14 Ultratech Stepper, Inc. Fiber-optic interferometer employing low-coherence-length light for precisely measuring absolute distance and tilt
US6249351B1 (en) 1999-06-03 2001-06-19 Zygo Corporation Grazing incidence interferometer and method
US6381009B1 (en) 1999-06-29 2002-04-30 Nanometrics Incorporated Elemental concentration measuring methods and instruments
US6160621A (en) 1999-09-30 2000-12-12 Lam Research Corporation Method and apparatus for in-situ monitoring of plasma etch and deposition processes using a pulsed broadband light source
US6259521B1 (en) 1999-10-05 2001-07-10 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography parameters based on photoresist images
JP3642996B2 (ja) 1999-11-18 2005-04-27 独立行政法人科学技術振興機構 光干渉法による測定対象物の屈折率と厚さの同時測定方法及びそのための装置
US6545761B1 (en) 1999-11-30 2003-04-08 Veeco Instruments, Inc. Embedded interferometer for reference-mirror calibration of interferometric microscope
DE10195052B3 (de) 2000-01-25 2015-06-18 Zygo Corp. Verfahren und Einrichtungen zur Bestimmung einer geometrischen Eigenschaft eines Versuchsgegenstands sowie optisches Profilmesssystem
JP4673955B2 (ja) 2000-03-24 2011-04-20 オリンパス株式会社 光学装置
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
LU90580B1 (fr) 2000-05-08 2001-11-09 Europ Economic Community M-thode d'identification d'un objet
US6449048B1 (en) 2000-05-11 2002-09-10 Veeco Instruments, Inc. Lateral-scanning interferometer with tilted optical axis
US6597460B2 (en) 2000-05-19 2003-07-22 Zygo Corporation Height scanning interferometer for determining the absolute position and surface profile of an object with respect to a datum
US6417109B1 (en) 2000-07-26 2002-07-09 Aiwa Co., Ltd. Chemical-mechanical etch (CME) method for patterned etching of a substrate surface
JP2004505313A (ja) 2000-07-27 2004-02-19 ゼテティック・インスティチュート 差分干渉走査型の近接場共焦点顕微鏡検査法
US6847029B2 (en) 2000-07-27 2005-01-25 Zetetic Institute Multiple-source arrays with optical transmission enhanced by resonant cavities
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6633831B2 (en) 2000-09-20 2003-10-14 Kla Tencor Technologies Methods and systems for determining a critical dimension and a thin film characteristic of a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
AU2002241784A1 (en) 2000-11-02 2002-05-27 Zygo Corporation Height scanning interferometry method and apparatus including phase gap analysis
US6633389B1 (en) 2000-11-28 2003-10-14 Nanometrics Incorporated Profiling method
US6909509B2 (en) 2001-02-20 2005-06-21 Zygo Corporation Optical surface profiling systems
US6721094B1 (en) 2001-03-05 2004-04-13 Sandia Corporation Long working distance interference microscope
US6624894B2 (en) 2001-06-25 2003-09-23 Veeco Instruments Inc. Scanning interferometry with reference signal
US7382447B2 (en) 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6867866B1 (en) 2001-08-10 2005-03-15 Therma-Wave, Inc. CD metrology analysis using green's function
US6741357B2 (en) 2001-08-14 2004-05-25 Seagate Technology Llc Quadrature phase shift interferometer with unwrapping of phase
JP4242767B2 (ja) 2001-09-21 2009-03-25 ケイマック 2次元型検出器を用いた薄膜特性測定装置及びその測定方法
US6714307B2 (en) 2001-10-16 2004-03-30 Zygo Corporation Measurement of complex surface shapes using a spherical wavefront
US6630982B2 (en) 2001-10-18 2003-10-07 Motorola, Inc. Color and intensity tunable liquid crystal device
KR100437024B1 (ko) 2001-10-18 2004-06-23 엘지전자 주식회사 박막 검사 방법 및 그 장치
US7030995B2 (en) 2001-12-10 2006-04-18 Zygo Corporation Apparatus and method for mechanical phase shifting interferometry
US6856384B1 (en) 2001-12-13 2005-02-15 Nanometrics Incorporated Optical metrology system with combined interferometer and ellipsometer
US6934035B2 (en) 2001-12-18 2005-08-23 Massachusetts Institute Of Technology System and method for measuring optical distance
CN1320334C (zh) 2002-03-14 2007-06-06 泰勒·霍布森有限公司 表面成型设备和获得数据方法,数据处理设备及其相关器
GB2385417B (en) 2002-03-14 2004-01-21 Taylor Hobson Ltd Surface profiling apparatus
US7068376B2 (en) 2002-04-19 2006-06-27 Zygo Corporation Interferometry method and apparatus for producing lateral metrology images
AU2003241356A1 (en) 2002-05-02 2003-11-17 Zygo Corporation Phase gap analysis for scanning interferometry
US7046371B2 (en) 2002-06-17 2006-05-16 Zygo Corporation Interferometer having a coupled cavity geometry for use with an extended source
DE10392754T5 (de) 2002-06-17 2005-08-25 Zygo Corp., Middlefield Interferometrisches optisches System und Verfahren, die eine optische Pfadlänge und einen Fokus bzw. Brennpunkt liefern, die gleichzeitig abgetastet werden
US6882433B2 (en) 2002-07-01 2005-04-19 Lightgage, Inc. Interferometer system of compact configuration
US7139081B2 (en) 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US6925860B1 (en) 2003-02-21 2005-08-09 Nanometrics Incorporated Leveling a measured height profile
US7271918B2 (en) 2003-03-06 2007-09-18 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7324214B2 (en) 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US7106454B2 (en) 2003-03-06 2006-09-12 Zygo Corporation Profiling complex surface structures using scanning interferometry
CN1784588B (zh) * 2003-03-06 2011-07-13 齐戈股份有限公司 使用扫描干涉测量形成复杂表面结构的轮廓以及对其表征
JP2006519993A (ja) * 2003-03-06 2006-08-31 ザイゴ コーポレーション 走査干渉分光を用いた複雑な表面構造のプロファイリング
US6985232B2 (en) 2003-03-13 2006-01-10 Tokyo Electron Limited Scatterometry by phase sensitive reflectometer
US7049156B2 (en) 2003-03-19 2006-05-23 Verity Instruments, Inc. System and method for in-situ monitor and control of film thickness and trench depth
US6999180B1 (en) 2003-04-02 2006-02-14 Kla-Tencor Technologies Corporation Optical film topography and thickness measurement
JP2004340680A (ja) * 2003-05-14 2004-12-02 Toray Eng Co Ltd 表面形状および/または膜厚測定方法及びその装置
DE10327019A1 (de) 2003-06-12 2004-12-30 Carl Zeiss Sms Gmbh Verfahren zur Bestimmung der Abbildungsgüte eines optischen Abbildungssystems
US7102761B2 (en) 2003-06-13 2006-09-05 Zygo Corporation Scanning interferometry
US6956716B2 (en) 2003-07-30 2005-10-18 Hitachi Global Storage Technologies Netherlands, B.V. Magnetic head having multilayer heater for thermally assisted write head and method of fabrication thereof
FI20031143A0 (fi) 2003-08-08 2003-08-08 Wallac Oy Optinen fokusointimenetelmä ja -järjestely
US7061623B2 (en) 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
US7298494B2 (en) 2003-09-15 2007-11-20 Zygo Corporation Methods and systems for interferometric analysis of surfaces and related applications
TWI335417B (en) 2003-10-27 2011-01-01 Zygo Corp Method and apparatus for thin film measurement
WO2005067579A2 (en) 2004-01-06 2005-07-28 Zygo Corporation Multi-axis interferometers and methods and systems using multi-axis interferometers
US20050179911A1 (en) 2004-02-17 2005-08-18 Digital Optics Corporation Aspheric diffractive reference for interferometric lens metrology
US7492469B2 (en) 2004-03-15 2009-02-17 Zygo Corporation Interferometry systems and methods using spatial carrier fringes
US7277183B2 (en) 2004-04-22 2007-10-02 Zygo Corporation Vibration resistant interferometry
US7177030B2 (en) * 2004-04-22 2007-02-13 Technion Research And Development Foundation Ltd. Determination of thin film topography
JP2007534942A (ja) * 2004-04-22 2007-11-29 ザイゴ コーポレーション 耐振動性干渉法
WO2005114096A2 (en) 2004-05-18 2005-12-01 Zygo Corporation Methods and systems for determining optical properties using low-coherence interference signals
US7119909B2 (en) 2004-06-16 2006-10-10 Veeco Instruments, Inc. Film thickness and boundary characterization by interferometric profilometry
US20060012582A1 (en) 2004-07-15 2006-01-19 De Lega Xavier C Transparent film measurements
US20060066842A1 (en) 2004-09-30 2006-03-30 Saunders Winston A Wafer inspection with a customized reflective optical channel component
DE602006008896D1 (de) 2005-01-20 2009-10-15 Zygo Corp Interferometer zur bestimmung von eigenschaften einer objektoberfläche
US7884947B2 (en) 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
JP2006214856A (ja) 2005-02-03 2006-08-17 Canon Inc 測定装置及び方法
EP1883781B1 (en) 2005-05-19 2019-08-07 Zygo Corporation Analyzing low-coherence interferometry signals for thin film structures
US7595891B2 (en) 2005-07-09 2009-09-29 Kla-Tencor Corporation Measurement of the top surface of an object with/without transparent thin films in white light interferometry
WO2007044786A2 (en) 2005-10-11 2007-04-19 Zygo Corporation Interferometry method and system including spectral decomposition
EP1946412A2 (en) 2005-10-11 2008-07-23 Clear Align LLC Apparatus and method for generating short optical pulses
US7408649B2 (en) 2005-10-26 2008-08-05 Kla-Tencor Technologies Corporation Method and apparatus for optically analyzing a surface
US20070127036A1 (en) 2005-12-07 2007-06-07 Chroma Ate Inc. Interference measurement system self-alignment method
US7612891B2 (en) * 2005-12-15 2009-11-03 Veeco Instruments, Inc. Measurement of thin films using fourier amplitude
US7522288B2 (en) 2006-07-21 2009-04-21 Zygo Corporation Compensation of systematic effects in low coherence interferometry
EP2097713A4 (en) 2006-12-22 2010-09-15 Zygo Corp DEVICE AND METHOD FOR MEASURING SURFACE PROPERTIES

Also Published As

Publication number Publication date
JP5290322B2 (ja) 2013-09-18
US8126677B2 (en) 2012-02-28
EP2232195B1 (en) 2015-03-18
TW200940948A (en) 2009-10-01
WO2009079334A3 (en) 2009-08-20
WO2009079334A2 (en) 2009-06-25
US20090182528A1 (en) 2009-07-16
KR101254161B1 (ko) 2013-04-18
JP2011506972A (ja) 2011-03-03
EP2232195A4 (en) 2012-03-14
KR20100092058A (ko) 2010-08-19
EP2232195A2 (en) 2010-09-29

Similar Documents

Publication Publication Date Title
TWI439662B (zh) 利用掃瞄干涉儀分析表面構造之方法與裝置
JP5340539B2 (ja) 表面の干渉分析のための方法およびシステムならびに関連する応用例
JP4885212B2 (ja) 薄膜構造についての情報に関する低コヒーレンス干渉計信号を解析するための方法およびシステム
TWI417518B (zh) 干涉儀及量測光學解析下表面特徵之特性的方法
US7324210B2 (en) Scanning interferometry for thin film thickness and surface measurements
JP5536667B2 (ja) 低コヒーレンス走査干渉法における走査エラー補正
US8107084B2 (en) Interference microscope with scan motion detection using fringe motion in monitor patterns