TWI386995B - 自矽表面移除不可見矽及不可見碳化矽之方法及用於電漿處理裝置之碳化矽電極 - Google Patents

自矽表面移除不可見矽及不可見碳化矽之方法及用於電漿處理裝置之碳化矽電極 Download PDF

Info

Publication number
TWI386995B
TWI386995B TW094146385A TW94146385A TWI386995B TW I386995 B TWI386995 B TW I386995B TW 094146385 A TW094146385 A TW 094146385A TW 94146385 A TW94146385 A TW 94146385A TW I386995 B TWI386995 B TW I386995B
Authority
TW
Taiwan
Prior art keywords
plasma
invisible
upper electrode
electrode
flow
Prior art date
Application number
TW094146385A
Other languages
English (en)
Other versions
TW200634925A (en
Inventor
Enrico Magni
Michael Kelly
Robert Hefty
Michelle Lupan
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200634925A publication Critical patent/TW200634925A/zh
Application granted granted Critical
Publication of TWI386995B publication Critical patent/TWI386995B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B44DECORATIVE ARTS
    • B44CPRODUCING DECORATIVE EFFECTS; MOSAICS; TARSIA WORK; PAPERHANGING
    • B44C1/00Processes, not specifically provided for elsewhere, for producing decorative surface effects
    • B44C1/22Removing surface-material, e.g. by engraving, by etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Optics & Photonics (AREA)
  • Metallurgy (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Treating Waste Gases (AREA)

Description

自矽表面移除不可見矽及不可見碳化矽之方法及用於電漿處理裝置之碳化矽電極
本發明係關於一種自矽表面移除不可見矽及不可見碳化矽之方法及用於電漿處理裝置之碳化矽電極。
在以諸如積體電路之以半導體為基礎之產品的製造期間,將蝕刻及/或沉積步驟用以建立或移除半導體基板上的材料層。傳統蝕刻程序給處理氣體通電以使之處於電漿狀態來電漿蝕刻半導體基板上的材料。
作為在電漿處理室內運行的電漿處理之結果,可以修改電漿處理室之曝露內部表面。此類表面修改可以由於藉由電漿放電產生以及自處理室中的半導體基板之處理中附帶的各種反應之能量離子、光子及各種中性原子與分子的通量而出現。
本發明提供一種用以自並列板電漿處理裝置之上電極的表面移除不可見矽及不可見碳化矽之方法。
提供自電漿處理室之一電極的一表面移除不可見矽或不可見碳化矽之方法的較佳具體實施例,其包括供應含氟氣體成分至包含一下電極及一上電極之電漿處理室中。該上電極為(i)矽並包含表面上具有不可見矽之電漿曝露表面,或(ii)碳化矽並包含表面上具有不可見碳化矽之電漿曝露表面。如本文所說明,"不可見矽"及"不可見碳化矽"為在處理室中的基板之電漿蝕刻處理期間可以由電極之電漿曝露表面之形態修改產生的形成物。給氣體成分通電以產生電漿,並且從上電極之電漿曝露表面蝕刻不可見矽或不可見碳化矽之至少一部分。
在另一較佳具體實施例中,包含表面上具有不可見矽或不可見碳化矽的電漿曝露表面之電漿處理裝置中除一電極以外的至少一個組件,可以經歷電漿清潔以從電漿曝露表面蝕刻不可見矽或不可見碳化矽之至少一部分。
在一較佳具體實施例中,氣體成分進一步包括含氧氣體及/或惰性氣體。可以改變包括含氟氣體之流量與O2 之流量的比率之處理條件,以影響電漿曝露表面之各向同性蝕刻。
在一較佳具體實施例中,可以將上電極之溫度控制為可有效地提供不可見矽或不可見碳化矽之較高移除速率的溫度。
並列板電漿處理室包含一上電極與一下電極。該上電極具有一底部表面,其通常面對一基板支撐物,其上支撐半導體基板。該等類型的電漿處理室可以運轉以電漿蝕刻各種材料,例如提供在半導體基板上的介電材料。在電漿蝕刻程序期間,蝕刻氣體係供應至電漿處理室中並藉由供應電源於該等電極之至少一個而得以通電以產生電漿。選擇處理條件以便在半導體基板之材料中蝕刻所需特徵。
並列板電漿處理室可以包含由例如矽或碳化矽組成的一上電極。該上電極可以包含一噴頭電極,其包含用以在處理室中分配氣體之氣體噴射孔。該上電極可以為單件電極(例如具有氣體噴射孔的碟形噴頭電極),或多件電極(例如沒有氣體噴射孔的由諸如連續或分段環之外部電極環包圍的內部碟形噴頭電極)。
已決定可以藉由由電漿放電及出現在半導體基板之處理中的各種反應產生的能量離子、光子及各種中性原子與分子之通量而在形態上修改上電極之電漿曝露底部表面。上電極之底部表面的"形態變化"之特徵為表面地形中的變化,其係由橫跨底部表面之寬度(例如橫跨噴頭電極及/或可選外部電極環之圓形底部表面)的材料之非均勻移除所引起。從底部表面的此類非均勻移除之材料會產生具有不同地形的不同表面區域。形態修改表面的特徵為與非修改表面區域相比具有微糙度之區域。
特徵為出現可以為針狀、桿狀或錐狀形狀之特徵的矽上電極表面之形態修改,頃發現係由用於包括電極之電漿處理室的某些電漿蝕刻程序及處理條件產生。圖1顯示包含該等特徵的形態修改矽表面之SEM顯微圖。如圖所示,針狀特徵間隔緊密。該等特徵通常可以具有從約10 nm至約1 mm的長度,及從約10 nm至約1000 nm(1 μm)的寬度。亦已決定圖1所示的針狀特徵之長度就蝕刻時間,取決於處理室中所用的蝕刻處理條件之實際時間相依性而指數式地增加。圖2顯示上電極之矽外部電極環之電漿曝露底部表面上的不可見矽形成。
已決定如圖1所示的特徵之特徵可在處理室中的介電材料(例如低k介電材料)之電漿蝕刻期間形成於電漿曝露矽上電極表面上。頃發現更有利於形成該等特徵的示範性處理條件包含高N2 、低O2 及低CF流量,及用以產生電漿的適度RF功率位準。上電極之形態修改表面可以包含一或多個修改表面區域,例如外部電極環上的至少一個修改區域及/或噴頭電極上的至少一個修改區域。
圖1與2所示的修改表面形態係共同稱為"不可見矽"。作為在電漿處理操作期間由形成於表面上的材料沉積物微遮蔽表面之結果,"不可見矽"可以形成於電漿曝露矽表面上。微遮蔽可以為從約100 nm至約100微米的範圍內。
雖然不希望受任何特定理論的限制,但是咸信作為在電漿處理操作期間電極上沉積非相連聚合物之結果,會出現矽上電極之電漿曝露底部表面上形成不可見矽。例如,在用於蝕刻半導體基板上的介電材料(例如低k介電材料層)之主要蝕刻步驟期間,非相連聚合物沉積物可以形成於矽上電極之底部表面上。聚合物沉積物形成三維島狀形成物,其保護底層矽表面不受蝕刻影響。一旦針狀特徵形成,例如聚合物沉積物優先地形成於針尖上,從而在連續基板之蝕刻期間加速微遮蔽機制及不可見矽傳播。微遮蔽表面區域之非均勻、各向異性蝕刻(即在垂直於上電極之宏觀底部表面的方向上)會產生底部表面上緊密間隔特徵(例如具有圖1所示的形狀之特徵)的形成。該等特徵可以預防光從矽表面之修改區域反射,該反射使得該等區域具有不可見外觀。
除了在電漿曝露矽表面上形成不可見矽以外,為修改表面區域提供不可見外觀的類似針狀、桿狀或錐狀特徵之形成物亦可以形成於碳化矽電極之電漿曝露表面上。碳化矽表面之此類修改區域係在本文中稱為"不可見碳化矽"。
在並列板(例如電容式耦合)之上電極的電漿曝露表面上形成不可見矽或不可見碳化矽係不合需要的,因為不可見矽及不可見碳化矽分別增加修改表面之電漿曝露表面。當不可見矽或不可見碳化矽形成之範圍會變得"過剩"(即修改表面區域之面積及/或特徵之尺度會達到某一位準)時,不可見矽或不可見碳化矽可以引起蝕刻電漿特性之變化,從而產生程序偏移。因此,電漿蝕刻速率可以在處理室中於一批晶圓之單一晶圓處理期間從晶圓到晶圓及/或橫跨晶圓表面偏移。例如頃發現在較接近於出現不可見矽的電極之半導體基板表面區域處,半導體基板之蝕刻速率可以在很大程度上較低(例如從約10%慢至約20%)。亦可以藉由不可見矽或不可見碳化矽之過度形成而在很大程度上降低橫跨晶圓表面的蝕刻均勻度。
根據可以由並列板(例如電容式耦合電漿處理室)之矽或碳化矽上電極的電漿曝露底部表面之形態變化產生的上述問題,需要在不可見矽或不可見碳化矽之範圍變得過剩並引起程序偏移之前,自此類電極之電漿曝露表面移除所形成的不可見矽或所形成的不可見碳化矽。換言之,較佳不允許不可見矽或不可見碳化矽之位準達到一過剩位準。
提供用以清潔矽或碳化矽上電極(例如噴頭電極,或包含內部噴頭電極與外部環的上電極)之方法。該等方法係在電漿處理室中於原處執行。該上電極可以具有單件或多件結構。該上電極為預先已用電極,其已在半導體基板(例如矽晶圓)之電漿處理期間於處理室中加以使用。已用電極在至少電漿曝露底部表面上具有不可見矽或不可見碳化矽。不可見矽可以在用於二件式上電極的噴頭電極之底部表面及/或外部環之底部表面上。
已決定一旦不可見矽或不可見碳化矽形成在矽或碳化矽上電極上變得過剩,則清潔程序可能無法令人滿意地移除形成物,即藉由恢復後來在處理室中處理成所需數值(例如生產規格)的生產基板(晶圓)之蝕刻速率及蝕刻均勻度。
在一較佳具體實施例中,在其個別底部表面上具有不可見矽或不可見碳化矽形成物之已用電極得到電漿清潔以移除該等形成物並恢復電極的底部表面狀態。不可見矽或不可見碳化矽係在電漿處理室中於半導體基板之電漿蝕刻期間形成於上電極上。較佳於在電漿曝露表面上達到可以引起在使用上電極而電漿蝕刻生產晶圓期間之不合需要的程序偏移之不可見矽或不可見碳化矽形成物的位準之前,對矽或碳化矽上電極進行電漿清潔。
更特定言之,該等方法之較佳具體實施例包括電漿清潔電容式耦合電漿處理室之矽或碳化矽上電極的電漿曝露底部表面。可以在下列情況下清潔上電極之電漿曝露底部表面:以選擇的時間間隔,例如每小時、每天或每週;或於使用上電極的同時已在處理室中處理某數量的生產晶圓之後,例如在每個生產晶圓之後;或已在處理室中處理某數量的晶圓(例如從2至約1000個晶圓)之後。此外,可以在已達到選擇的電漿時間(RF小時)之後執行電漿清潔。根據半導體基板蝕刻程序期間不可見矽或不可見碳化矽之形成的速率,可以選擇執行的頻率及每次執行的電漿清潔程序的持續時間。
可以執行清潔方法以自上電極移除選擇數量的不可見矽或不可見碳化矽。例如,在一具體實施例中,可以藉由電漿清潔移除上電極之表面上的實質全部不可見矽或不可見碳化矽形成物。
在另一較佳具體實施例中,可以移除不可見矽或不可見碳化矽之一部分,以便電極表面上的殘餘不可見矽或不可見碳化矽之範圍係低於可以在使用所清潔的上電極而電漿蝕刻各層(例如處理室中生產晶圓上的介電(例如低k)層期間引起不合需要的程序偏移之位準。不可見矽或不可見碳化矽之部分較佳為大部分,即移除不可見矽或不可見碳化矽形成物之垂直特徵長度(即在垂直於電極之宏觀底部表面或另一矽或碳化矽組件之表面方向上的特徵長度或高度)的50%以上,例如至少約垂直特徵長度的60%、70%、80%、90%、95%或甚至100%。
例如,根據已自電極表面移除的不可見矽或不可見碳化矽之估計數量(即平均特徵長度中的估計變化),以及在使用電極蝕刻處理室中的晶圓期間表面上不可見矽或不可見碳化矽的形成之估計速率,可以估計可在處理室中使用所清潔的上電極加以處理直到出現不合需要的程序偏移之晶圓的數量。
較佳採用固定在處理室中的虛擬晶圓執行清潔方法。例如,可以在清潔方法期間於基板支撐物上放置裸矽晶圓或採用膜(例如氧化矽或光阻材料之膜)覆蓋的晶圓。
清潔方法移除其上形成不可見矽或不可見碳化矽的上電極之底部表面的形態修改區域之至少一部分。特定言之,清潔可有效地移除為電極提供不可見矽或不可見碳化矽外觀的特徵之長度的至少一部分。蝕刻方法亦可以移除由藉由電漿放電、底部表面與在電漿處理操作期間出現在電漿處理室中的化學反應物之交互作用產生的能量離子、光子及中性原子與分子之通量產生的上電極之底部表面的其他形態修改區域。亦可以移除表面上的聚合物沉積物。
在一較佳具體實施例中,藉由給合適的含氟氣體成分通電以使之處於電漿狀態而蝕刻矽或碳化矽上電極之電漿曝露底部表面。氣體成分較佳包括至少一種碳氟化合物、氫氟碳化物或其混合物。例如氣體成分可以包括CHx F4 x (例如CF4 、CHF3 、CH2 F2 或CH3 F)、C2 Hx F6 x (例如C2 F6 或C2 H2 F4 )、C2 Hx F4 x (例如C2 F4 )、C3 F6 、C3 F8 、C4 F6 、C4 F8 、C5 F8 、NF3 或其混合物。氣體成分較佳包括CF4 或NF3
清潔氣體成分較佳包含至少一種額外氣體。額外氣體較佳為O2 。在其他具體實施例中,額外氣體可以為至少一種惰性氣體(例如氦、氬或氖)。在一較佳具體實施例中,氣體成分包含CF4 與O2 、CF4 與惰性氣體(例如氬)、NF3 與O2 、或NF3 與惰性氣體。在此類氣體成分中,含氟氣體可以構成總氣體成分之任何合適的部分,包含小部分(即總氣體成分流量的50%以下)、均等部分、或大部分(即總氣體成分流量的50%以上)。一般而言,增加氣體成分中的含氟氣體之部分會增加氣體成分之氟濃度至約50%之碳氟化合物濃度。
增加清潔氣體成分之氟濃度可以增加自上電極之電漿曝露底部表面移除不可見矽的效率。亦可藉由增加清潔氣體成分之總流量而增加清潔氣體成分之氟濃度。例如,在給定的處理室壓力下,可以藉由下列方式增加氟濃度:將CF4 (或NF3 )及O2 之流量從125 sccm CF4 :125 sccm O2 改變為例如500 sccm CF4 :500 sccm O2 或1000 sccm CF4 :1000 sccm O2 。可以使用含氟氣體與O2 或另一氣體的其他流量比率。亦可以藉由增加處理室壓力而增加氟濃度。
可以產生不可見矽(或不可見碳化矽)之高蝕刻率的處理條件不必提供關於不可見矽移除的最佳結果。也就是說,可以在各向異性蝕刻條件下(即在垂直於諸如上電極的組件之宏觀表面的方向上進行蝕刻)達到高矽蝕刻速率。然而,各向異性蝕刻對於蝕刻橫跨電極之底部表面的寬度之不可見矽特徵(或不可見碳化矽特徵)而言並非最佳。相反地,當蝕刻為各向同性以及蝕刻速率較佳為相當高時達到不可見矽(或不可見碳化矽)的蝕刻效率。已決定可以藉由增加電漿中無氟自由基之濃度而達到蝕刻效率。
較佳選擇清潔氣體成分中含氟氣體與其他氣體的流量比率以提供電漿中足夠高濃度的無氟自由基來達到矽或碳化矽之各向同性蝕刻,及亦較佳提供適當高的蝕刻速率。例如,對於CF4 /O2 清潔氣體成分而言,CF4 :O2 之流量比率較佳係從約1:10至約5:1,更佳係從約1:5至約2:1。對於NF3 /O2 清潔氣體成分而言,NF3 :O2 之流量比率較佳係從約1:10至約5:1,更佳係從約1:5至約2:1。增加清潔氣體成分之總流量會增加可用於蝕刻之未反應的反應物之數量。當在原子狀態中可用增加數量的F時,可最佳化CF4 /O2 流量比率或NF3 /O2 流量比率。當稀釋原子狀態中的F以限制F與F再組合以形成F2 時,可最佳化NF3 /O2 流量比率或NF3 /Ar流量比率。已決定在約5分鐘的電漿清潔內通常實質上可以移除具有約500 nm之長度的不可見矽特徵。通常可以藉由原處電漿輔助清潔方法以每分鐘從約50 nm至約300 nm之速率蝕刻矽。
清潔氣體成分的總流量通常可以在從約250 sccm至約2000 sccm的範圍內。清潔程序期間處理室壓力通常可以在從約20 mT至約1000 mT的範圍內。可以藉由調節處理室中的可選電漿限制環裝配件之位置而調整處理室壓力。可以使用的示範性限制環裝配件係說明在共同讓渡的美國專利第5,534,751、5,998,932及6,527,911號中,該等專利之每個係全部以引用的方式併入本文中。亦可以藉由控制定位成接近於一幫浦(例如裝置的渦輪分子幫浦)的一閥(例如節流閥)之位置來調整處理室壓力。
在清潔程序期間,上電極係較佳在從約20℃至約200℃的溫度下,更佳在從約100℃至約200℃的高溫下。已決定增加此範圍內的電極溫度可以增加電極在電漿曝露底部表面上的不可見矽之特徵之平均長度的減小之大小方面的清潔效率。在包含具有加熱及冷卻能力之噴頭電極裝配件的電漿處理室之具體實施例中可以控制上電極溫度。在其他具體實施例中,可以藉由提供在電極裝配件中的散熱片(例如一或多個金屬板及/或溫度控制安裝板)來移除自電極、由離子轟擊引起的熱。
上電極清潔程序係較佳進行足夠長的時間以自上電極之整個電漿曝露底部表面移除足夠的厚度,以便在清潔之後電漿曝露底部表面上的殘餘形態修改區域係較佳低於在使用所清潔的上電極來電漿蝕刻處理室中的生產晶圓期間可以引起不合需要的程序偏移的不可見矽或不可見碳化矽之位準。
清潔方法可以在電漿處理室之蝕刻速率性能中引入偏移。當出現此情況時,為了在清潔上電極之後增強蝕刻速率的恢復及蝕刻均勻度性能,可以在已清潔電極之後視需要而電漿調節電漿處理室。根據所用的處理條件,電漿調節能夠在已執行清潔步驟之後自上電極移除殘餘的不可見矽或不可見碳化矽。例如,電漿處理室調節步驟可以從包括含氟氣體、氧及惰性氣體(例如氬)的氣體成分產生電漿。含氟氣體可以為例如C4 F8 ,而且含氧氣體較佳為O2 。下列示範性近似處理條件可以用於執行調節步驟:100 mT的處理室壓力/施加於下電極之27 MHz情況下的2000 W及2 MHz情況下的3000 W/20 sccm C4 F8 /20 sccm O2 /250 sccm氬/120 s電漿調節。
在一具體實施例中,在已於電漿處理室中蝕刻每個生產晶圓之後,或在已蝕刻二或多個(例如2、5或10)個生產晶圓之後,可以執行可選無晶圓自動清潔程序。無晶圓自動清潔程序會產生氧電漿,其可有效地自處理室之電漿曝露內部表面移除各種沉積的材料。較佳在無生產晶圓出現在電漿處理室中的情況下藉由給含O2 的氣體成分通電而形成氧電漿。
在另一較佳具體實施例中,為矽或碳化矽並包含表面上分別具有不可見矽或不可見碳化矽的電漿曝露表面之電漿處理裝置中除一電極以外的至少一個組件,可以經歷電漿清潔以自電漿曝露表面移除不可見矽或不可見碳化矽之至少一部分。例如,組件可以為用於支撐半導體基板之基板支撐物的矽或碳化矽之一或多個邊緣及/或聚焦環。
圖3描述一示範性電漿處理裝置100,其可以用於實施本文說明的方法之較佳具體實施例。電漿處理裝置100包括電容式耦合電漿處理室102,其可以產生中等密度電漿。電漿處理室102包含處理室壁103。處理室壁103可以視需要採用合適的耐磨材料(例如電漿噴灑陶瓷材料)加以塗布。為了提供至接地的電性路徑,處理室壁103可以由已進行電性接地的鋁或類似物加以製造。電漿處理室102包含晶圓傳遞槽118,其係提供在處理室壁103中以將半導體基板傳遞至電漿處理室102及從中傳遞出來。
電漿處理室102包含具有底部表面108的上電極104。底部表面108較佳採用可選步驟而為平坦,如例如全部以引用的方式併入本文中的共同讓渡之美國專利第6,391,787號所說明。上電極104可以為單件電極或多件電極。例如,上電極104可以具有包含噴頭電極板的單件構造,或其可以包含噴頭電極板與外部電極環。在此類更後的具體實施例中,噴頭電極板及外部電極環可以視需要藉由利用焊接材料(例如彈性體材料)與其焊接的石墨板加以支撐。上電極104可以制定成處理例如200 mm晶圓或300 mm晶圓。上電極(包含多件構造中的外部電極環)可以為矽(例如單晶矽、多晶矽或非晶矽)或碳化矽。裝置100包含氣體來源(圖中未顯示),其用於供應處理氣體給上電極104。上電極104較佳經由匹配網路藉由RF電源106加以供電。在另一具體實施例中,上電極104可加以接地以提供返回路徑給由電漿處理室102之底部電極供應的電源,如以下說明。
在圖3所示的裝置100之具體實施例中,將處理氣體供應至在上電極104與半導體基板10(例如支撐在基板支撐物111上的半導體晶圓)之間展開的電漿區域中的電漿處理室102。基板支撐物111較佳包含靜電卡盤114,其藉由靜電夾緊力將半導體基板10緊固在基板支撐物上。靜電卡盤114作為底部電極並較佳藉由RF電源116加以偏壓(通常經由匹配網路)。靜電卡盤114之上表面115較佳具有與半導體基板10接近相同的直徑。
將真空幫浦(未顯示)調適成維持電漿處理室102內所需的真空壓力。氣體係由幫浦通常在由箭頭110表示的方向上抽吸。
可以使用的示範性並列板電漿反應器為雙頻電漿蝕刻反應器(參見例如共同讓渡的美國專利第6,090,304號,其全部係以引用的方式併入本文中)。在此類反應器中,蝕刻氣體可以從氣體供應而供應至噴頭電極並且電漿可以藉由從二個RF來源供應RF能量至噴頭電極及/或底部電極而在反應器中產生,或者噴頭電極可加以接地並且具有二個不同頻率的RF能量可加以供應至底部電極。
範例1
具有電性及熱焊接至其個別底部表面不同位置處的(100)矽試棒之四個矽上電極係用於晶圓之電漿蝕刻。電極及試棒之底部表面上具有不可見矽形成物。接著,在並列板電漿處理室中對已用電極進行電漿清潔。在電漿清潔期間將虛擬晶圓放置在基板支撐物上。
表1顯示用於對電極進行電漿清潔的處理條件。對於每個電極而言,電漿清潔程序使用氣體成分,其包含CF4 及O2 並具有200 sccm的CF4 流量、60秒的清潔時間、與約20℃的電極溫度。處理室壓力、施加的下電極功率及頻率位準、O2 流量、及/或CF4 :O2 流量比率因清潔程序而改變。
對於矽試棒而言,假定預清潔不可見矽平均特徵長度具有用於每個電極的相同估計數值。此相同估計長度係基於分別已經歷接近相同的電漿蝕刻條件之電極,在蝕刻期間不可見矽已形成於試棒及電極上。對於每個電極而言,藉由使用掃描電子顯微鏡(SEM)來分析相關聯的試棒而決定後清潔不可見矽特徵長度。如表1所示,預清潔與後清潔平均不可見矽特徵長度之間的最大差異係發現用於第1號樣本,該樣本係採用1:2的CF4 /O2 流量比率加以清潔。
範例2
在範例2中,具有電性及熱焊接至其個別底部表面不同位置處的(100)矽試棒之五個矽上電極係用於晶圓之電漿蝕刻。電極與試棒之底部表面上具有不可見矽。接著,在並列板電漿處理室中對已用電極進行電漿清潔。在清潔期間將虛擬晶圓放置在基板支撐物上。
表2顯示用於對電極進行電漿清潔的處理條件。對於每個電極而言,電漿清潔程序使用CF4 及O2 之氣體成分,其具有200 sccm的CF4 流量、5分鐘的清潔時間、與約20℃的電極溫度。處理室壓力、施加於下電極的功率及頻率位準、及/或CF4 :O2 流量比率因清潔而改變。
對於矽試棒而言,假定預清潔不可見矽平均特徵長度具有用於該等電極之每個的相同估計數值,如以上針對範例1所說明。後清潔不可見矽特徵長度係藉由SEM決定用於提供在第6與7號電極樣本上的試棒。在第5、8及9號樣本上未發現不可見矽。決定根據具有較平坦之所清潔的試棒輪廓之此樣本,用於第5號樣本的處理條件(包含1:2的CF4 /O2 流量比率)提供各向同性蝕刻與不可見矽蝕刻速率之最合需要的組合。
範例3
在範例3中,四個矽上電極係用於晶圓之電漿蝕刻並接著在並列板電漿處理室中進行電漿清潔,以決定清潔氣體之氟濃度對自電極移除不可見矽之效率的效應。電極之每個具有電性及熱焊接至底部表面不同位置處的(100)矽試棒。電極與試棒之底部表面上具有不可見矽形成物。在清潔程序期間將虛擬晶圓放置在基板支撐物上。
表3顯示用於對電極進行電漿清潔的處理條件。將相同清潔時間與電極溫度用於每個電極。使用不同的處理室壓力、施加的下電極功率及頻率位準、CF4 流量、O2 流量、及/或CF4 :O2 流量比率。對於第10及12號樣本而言,清潔氣體成分包含氬以允許使用光量測定方法決定自光學發射的氟濃度。
表3提供用於範例3的測試結果。如表所示,預清潔與後清潔平均不可見矽特徵長度之間的最大差異係達到用於第12號樣本,該樣本係採用1:2的CF4 /O2 流量比率加以清潔。
圖4顯示預清潔不可見矽特徵與後清潔不可見矽特徵之間的長度差異對清潔氣體成分之氟濃度。
範例4
在範例4中,已用於晶圓之電漿蝕刻的三個不同矽上電極係在並列板電漿處理室中使用除不同上電極溫度以外之相同處理條件進行電漿清潔。對於該等電極之每個而言,將(100)矽試棒電性及熱焊接至底部表面不同位置處。電極與試棒之底部表面上具有不可見矽形成物。
使用下列處理條件對三個電極進行電漿清潔:600 mT的處理室壓力/下電極第一功率位準/2500瓦特及27 MHz的第一頻率/下電極第二功率位準/1000瓦特及2 MHz的第二頻率/200 sccm的CF4 流量/400 sccm的O2 流量/60秒的清潔時間。清潔期間對於個別電極而言,電極溫度為約20℃、約80℃及約105℃。對於20℃、80℃及105℃之溫度而言,不可見矽平均特徵長度係分別減少約100 nm、約105 nm及約140 nm。該等結果證實可以藉由將電極溫度增加到至少約100℃而改良電極清潔效率。
範例5
在範例5中,分別包含低k氧化矽層的100個晶圓係在並列板電漿處理室中使用矽上電極經歷蝕刻步驟。在已處理100個晶圓之後,使用下列處理條件對矽上電極進行清潔:600 mT的處理室壓力/下電極第一功率位準/2500瓦特及27 MHz的第一頻率/下電極第二功率位準/1000瓦特及2 MHz的第二頻率/200 sccm的CF4 流量/400 sccm的O2 流量/15分鐘的清潔時間。如圖5所示,在分別蝕刻25、50、75及100個晶圓之後,以及在隨蝕刻所有100個晶圓後清潔上電極之後,開始決定氧化矽蝕刻速率及蝕刻速率%均勻度(3西格瑪)。測試結果證實可以藉由依據本文說明的方法之一具體實施例清潔上電極來恢復上電極底部表面條件及氧化矽蝕刻速率。
範例6
在範例6中,使用下列處理條件而電漿清潔包含具有約500 nm之平均特徵長度的不可見矽之第一矽上電極:200 mT的處理室壓力/下電極第一功率位準/2500瓦特及27 MHz之第一頻率/下電極第二功率位準/1000瓦特及2 MHz的第二頻率/200 sccm的CF4 流量/400 sccm的O2 流量/20℃的電極溫度/30秒的清潔時間。後清潔不可見矽平均特徵長度為約460 nm。使用下列處理條件而電漿清潔包含具有約430 nm之平均特徵長度的不可見矽之第二矽上電極:200 mT的處理室壓力/下電極第一功率位準/2500瓦特及27 MHz之第一頻率/下電極第二功率位準/1000瓦特及2 MHz的第二頻率/200 sccm的NF3 流量/400 sccm的O2 流量/30秒的清潔時間。後清潔平均特徵長度為約360 nm。該等結果證實CF4 /O2 及NF3 /O2 氣體混合物皆可有效地移除不可見矽,而NF3 /O2 氣體混合物更為有效。
上文已說明本發明之原理、較佳具體實施例及操作模式。然而,本發明不應視為限於所說明的特定具體實施例。因此,上述具體實施例應視為具有說明性而非限制性,並且應瞭解熟習技術人士可對該等具體實施例進行變動而不脫離如下列申請專利範圍所定義的本發明之範疇。
10...半導體基板
100...電漿處理裝置
102...電漿處理室
103...處理室壁
104...上電極
106...RF電源
108...底部表面
110...箭頭
111...基板支撐物
114...靜電卡盤
115...上表面
116...RF電源
118...晶圓傳遞槽
圖1為使用顯示表面上具有不可見矽的矽表面之掃描電子顯微鏡(SEM)所拍攝的顯微圖。
圖2顯示表面上具有不可見矽的矽電極之電漿曝露表面。
圖3顯示適合於執行本文說明的清潔方法之具體實施例的示範性電容式耦合電漿處理裝置。
圖4顯示預清潔不可見矽特徵與後清潔不可見矽特徵之間的高度差異對用於電漿清潔的清潔氣體成分之氟濃度。
圖5顯示在分別蝕刻25、50、75及100個晶圓之後,以及後來在依據本文說明的方法之一具體實施例清潔電漿處理室之上電極之後,開始時的氧化矽蝕刻速率及蝕刻速率均勻度。

Claims (22)

  1. 一種自一電漿處理室之一已用電極的一表面移除不可見矽或不可見碳化矽之方法,該方法包括:供應一含氟氣體成分至包含一下電極與一上電極之一電漿處理室中,該上電極為(i)矽並包含其表面上具有不可見矽之一電漿曝露表面,或(ii)碳化矽並包含其表面上具有不可見碳化矽之一電漿曝露表面;給該氣體成分通電以產生電漿;以及從該上電極之該電漿曝露表面蝕刻該不可見矽或不可見碳化矽之至少一部分。
  2. 如請求項1之方法,其中該電漿處理室是一平行板之電容耦合室,該上電極包含分別由單晶矽、多晶矽或非晶矽製造的一噴頭電極與一分段外部電極環。
  3. 如請求項1之方法,其中該含氟氣體係選自由CF4 、CHF3 、CH2 F2 、CH3 F、C2 F6 、C2 H2 F4 、C2 F4 、C3 F6 、C3 F8 、C4 F6 、C4 F8 、C5 F8 、NF3 或其混合物組成的該群組。
  4. 如請求項1之方法,其中該氣體成分本質上由CF4 與O2 或NF3 與O2 組成。
  5. 如請求項1之方法,其中:該氣體成分本質上由CF4 與O2 組成,並且CF4 之流量與O2 之該流量的比率係從約1:10至約5:1;或者該氣體成分本質上由NF3 與O2 組成,並且NF3 之該流量與O2 之該流量的該比率係從約1:10至約5:1。
  6. 如請求項5之方法,其中CF4 之該流量與O2 之該流量的該 比率,或NF3 之該流量與O2 之該流量的該比率,係從約1:5至約2:1。
  7. 如請求項6之方法,其中該氣體成分之總流量係從約250 sccm至約2000 sccm,並且該電漿處理室係處於從約20 mTorr至約1000 mTorr的一壓力中。
  8. 如請求項1之方法,其中藉由在對該上電極進行接地的同時供應具有二種不同頻率的二種不同功率位準至該下電極而給該氣體成分通電。
  9. 如請求項1之方法,其中各向同性地蝕刻該上電極之該電漿曝露表面。
  10. 如請求項1之方法,其中在該蝕刻期間該上電極之溫度係從約50℃至約200℃。
  11. 如請求項1之方法,其進一步包括:在該電漿處理室中電漿蝕刻包含一低k介電層之複數個半導體基板,其中在該等低k介電層之電漿蝕刻期間於該上電極之該電漿曝露表面上形成該不可見矽或不可見碳化矽。
  12. 如請求項1之方法,其中該蝕刻自該上電極之該電漿曝露表面蝕刻從約50 nm至約500 nm之一深度。
  13. 如請求項1之方法,其進一步包括在該電漿處理室中,除該上電極以外,從一矽組件蝕刻不可見矽,或自一碳化矽組件移除不可見碳化矽。
  14. 如請求項1之方法,其中該上電極是單晶矽而且包括一具有不可見矽在底部表 面之電漿暴露底部表面;及從該上電極之該電漿曝露底部表面蝕刻該不可見矽之至少一部分。
  15. 如請求項1之方法,其中該上電極是單晶碳化矽而且包括一具有不可見碳化矽在底部表面之電漿暴露底部表面;及從該上電極之該電漿曝露底部表面蝕刻該不可見碳化矽之至少一部分。
  16. 一種自一電容式耦合電漿處理室之一已用電極的一表面移除不可見矽之方法,該方法包括:以約1:10至約5:1的(i)CF4 之一流量與O2 之一流量之一比率或(ii)NF3 之一流量與O2 之一流量之一比率供應包括CF4 與O2 或NF3 與O2 之一氣體成分至一電漿處理室,該電漿處理室包含一下電極與一矽之上電極,其具有一電漿曝露表面,該表面上具有不可見矽;給該氣體成分通電以產生一電漿;以及從該上電極之該電漿曝露表面各向同性地蝕刻該不可見矽之至少一部分。
  17. 如請求項16之方法,其包括自該上電極之該電漿曝露表面移除從約50 nm至約500 nm之一深度。
  18. 如請求項16之方法,其中該上電極包含分別由單晶矽、多晶矽或非晶矽製造的一噴頭電極與一外部電極環。
  19. 如請求項16之方法,其中在該蝕刻期間該上電極之一溫度係從約50℃至約200℃。
  20. 如請求項16之方法,其中CF4 之該流量與O2 之該流量的該比率,或NF3 之該流量與O2 之該流量的該比率,係從約1:5至約2:1。
  21. 如請求項20之方法,其中該氣體成分之一總流量係從約250 sccm至約2000 sccm,並且該電漿處理室係處於從約20 mTorr至約1000 mTorr的一壓力中。
  22. 如請求項16之方法,其中藉由在對該上電極進行接地的同時供應具有二種不同頻率的二種不同功率位準至該下電極而給該氣體成分通電。
TW094146385A 2004-12-23 2005-12-23 自矽表面移除不可見矽及不可見碳化矽之方法及用於電漿處理裝置之碳化矽電極 TWI386995B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/019,464 US7291286B2 (en) 2004-12-23 2004-12-23 Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses

Publications (2)

Publication Number Publication Date
TW200634925A TW200634925A (en) 2006-10-01
TWI386995B true TWI386995B (zh) 2013-02-21

Family

ID=36615391

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094146385A TWI386995B (zh) 2004-12-23 2005-12-23 自矽表面移除不可見矽及不可見碳化矽之方法及用於電漿處理裝置之碳化矽電極

Country Status (7)

Country Link
US (1) US7291286B2 (zh)
EP (1) EP1827871B1 (zh)
JP (1) JP2008526024A (zh)
KR (1) KR101191697B1 (zh)
CN (1) CN101102909B (zh)
TW (1) TWI386995B (zh)
WO (1) WO2006071556A2 (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8057603B2 (en) * 2006-02-13 2011-11-15 Tokyo Electron Limited Method of cleaning substrate processing chamber, storage medium, and substrate processing chamber
US7902073B2 (en) * 2006-12-14 2011-03-08 Lam Research Corporation Glue layer for hydrofluorocarbon etch
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
JP2008235562A (ja) * 2007-03-20 2008-10-02 Taiyo Nippon Sanso Corp プラズマcvd成膜装置のクリーニング方法
US8221552B2 (en) * 2007-03-30 2012-07-17 Lam Research Corporation Cleaning of bonded silicon electrodes
US7578889B2 (en) * 2007-03-30 2009-08-25 Lam Research Corporation Methodology for cleaning of surface metal contamination from electrode assemblies
US8262800B1 (en) 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
WO2010053687A2 (en) * 2008-11-04 2010-05-14 Praxair Technology, Inc. Thermal spray coatings for semiconductor applications
US8591659B1 (en) * 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
DE102009028256B4 (de) 2009-08-05 2019-01-24 Robert Bosch Gmbh Verfahren zum Ätzen von Siliziumcarbid mittels eines Plasmaätzverfahrens und Siliziumcarbidsubstrat
CN101734611B (zh) * 2009-12-16 2011-08-31 北京大学 基于无掩膜深反应离子刻蚀制备黑硅的方法
JP5896915B2 (ja) * 2009-12-18 2016-03-30 ラム リサーチ コーポレーションLam Research Corporation プラズマチャンバで使用される上方電極から表面金属汚染を洗浄するための方法
CN101880914B (zh) 2010-05-25 2012-09-12 中国科学院微电子研究所 利用等离子体浸没离子注入制备黑硅的方法
JP5596141B2 (ja) * 2010-05-27 2014-09-24 株式会社日立ハイテクノロジーズ 画像処理装置、荷電粒子線装置、荷電粒子線装置調整用試料、およびその製造方法
JP6057292B2 (ja) * 2013-06-13 2017-01-11 学校法人関西学院 SiC半導体素子の製造方法
CN103681246B (zh) * 2013-12-30 2017-10-17 国家电网公司 一种SiC材料清洗方法
CN105710082B (zh) * 2014-12-02 2018-03-06 中国科学院上海硅酸盐研究所 一种去除金属纳米线表面有机物及氧化层的方法
JP6269709B2 (ja) 2016-03-28 2018-01-31 株式会社Sumco 清浄度評価方法、洗浄条件決定方法、およびシリコンウェーハの製造方法
KR101914289B1 (ko) * 2016-08-18 2018-11-01 주식회사 티씨케이 투과도가 다른 복수 개의 층을 갖는 SiC 반도체 제조용 부품 및 그 제조방법
WO2021231456A1 (en) 2020-05-13 2021-11-18 Tokyo Electron Limited Method for dry etching silicon carbide films for resist underlayer applications
CN115354298A (zh) * 2022-07-05 2022-11-18 湖南红太阳光电科技有限公司 一种pecvd设备石墨舟清洗系统
CN115318219B (zh) * 2022-10-12 2023-08-18 常州烯聚新材料科技有限公司 适用闪光焦耳加热工艺的针状电极放电管及焦耳加热设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US20050001276A1 (en) * 2003-07-03 2005-01-06 The Regents Of The University Of California Selective etching of silicon carbide films

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US19081A (en) * 1858-01-12 Spencer b
US112294A (en) * 1871-02-28 peters
JPS56125838A (en) * 1980-03-07 1981-10-02 Hitachi Ltd Etching method
US4426246A (en) 1982-07-26 1984-01-17 Bell Telephone Laboratories, Incorporated Plasma pretreatment with BCl3 to remove passivation formed by fluorine-etch
US4498953A (en) 1983-07-27 1985-02-12 At&T Bell Laboratories Etching techniques
US4595484A (en) 1985-12-02 1986-06-17 International Business Machines Corporation Reactive ion etching apparatus
US5215619A (en) * 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5565038A (en) 1991-05-16 1996-10-15 Intel Corporation Interhalogen cleaning of process equipment
US6124211A (en) 1994-06-14 2000-09-26 Fsi International, Inc. Cleaning method
WO1996008036A1 (en) * 1994-09-02 1996-03-14 Stichting Voor De Technische Wetenschappen Process for producing micromechanical structures by means of reactive ion etching
US5585012A (en) 1994-12-15 1996-12-17 Applied Materials Inc. Self-cleaning polymer-free top electrode for parallel electrode etch operation
US5665203A (en) 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
US5888906A (en) 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
JP3568749B2 (ja) * 1996-12-17 2004-09-22 株式会社デンソー 半導体のドライエッチング方法
WO1998032163A1 (en) 1997-01-22 1998-07-23 California Institute Of Technology Gas phase silicon etching with bromine trifluoride
US6090304A (en) 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US6033997A (en) 1997-12-29 2000-03-07 Siemens Aktiengesellschaft Reduction of black silicon in semiconductor fabrication
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6290779B1 (en) 1998-06-12 2001-09-18 Tokyo Electron Limited Systems and methods for dry cleaning process chambers
US6394104B1 (en) 1998-08-28 2002-05-28 Taiwan Semiconductor Manufacturing Company Method of controlling and improving SOG etchback etcher
WO2001048795A2 (en) * 1999-12-23 2001-07-05 Applied Materials, Inc. Fluorine based plasma etch method for anisotropic etching of high open area silicon structures
US6391788B1 (en) 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
US6500356B2 (en) 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
US6514378B1 (en) 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6489249B1 (en) 2000-06-20 2002-12-03 Infineon Technologies Ag Elimination/reduction of black silicon in DT etch
JP3606198B2 (ja) * 2000-12-14 2005-01-05 株式会社日立製作所 プラズマ処理装置
KR100564168B1 (ko) * 2001-01-22 2006-03-27 동경 엘렉트론 주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
US20020177321A1 (en) * 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
US6776851B1 (en) 2001-07-11 2004-08-17 Lam Research Corporation In-situ cleaning of a polymer coated plasma processing chamber
TW567554B (en) 2001-08-08 2003-12-21 Lam Res Corp All dual damascene oxide etch process steps in one confined plasma chamber
US6846726B2 (en) * 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
US6767836B2 (en) * 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US6730600B2 (en) 2002-09-27 2004-05-04 Agere Systems, Inc. Method of dry etching a semiconductor device in the absence of a plasma
US6630395B1 (en) * 2002-10-24 2003-10-07 International Business Machines Corporation Methods for fabricating electrical connections to semiconductor structures incorporating low-k dielectric materials
US20040112544A1 (en) 2002-12-16 2004-06-17 Hongwen Yan Magnetic mirror for preventing wafer edge damage during dry etching

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US20050001276A1 (en) * 2003-07-03 2005-01-06 The Regents Of The University Of California Selective etching of silicon carbide films

Also Published As

Publication number Publication date
TW200634925A (en) 2006-10-01
US7291286B2 (en) 2007-11-06
EP1827871A4 (en) 2009-09-16
CN101102909B (zh) 2011-11-30
EP1827871B1 (en) 2016-03-09
KR101191697B1 (ko) 2012-10-16
KR20070091661A (ko) 2007-09-11
WO2006071556A3 (en) 2007-01-04
WO2006071556A2 (en) 2006-07-06
EP1827871A2 (en) 2007-09-05
US20060157448A1 (en) 2006-07-20
CN101102909A (zh) 2008-01-09
JP2008526024A (ja) 2008-07-17

Similar Documents

Publication Publication Date Title
TWI386995B (zh) 自矽表面移除不可見矽及不可見碳化矽之方法及用於電漿處理裝置之碳化矽電極
US7226869B2 (en) Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
US6014979A (en) Localizing cleaning plasma for semiconductor processing
CN101095379B (zh) 光刻胶和刻蚀残留物的低压去除
US6062237A (en) Polymer removal from top surfaces and sidewalls of a semiconductor wafer
JP3574680B2 (ja) キセノンを用いたプラズマエッチング
KR100848522B1 (ko) 실리콘 에칭 및 챔버 세정 프로세스의 일체화
KR100593826B1 (ko) 드라이 에칭 방법
US6787475B2 (en) Flash step preparatory to dielectric etch
JP3559691B2 (ja) 半導体装置の製造方法
KR20040074356A (ko) 박막 형성 방법 및 박막 증착 장치
JPH05160078A (ja) ドライエッチング方法
JPH0754143A (ja) スパッタリング装置