TWI364861B - Silicon carbide component of semiconductor substrate processing apparatuses treated to remove free-carbon - Google Patents

Silicon carbide component of semiconductor substrate processing apparatuses treated to remove free-carbon Download PDF

Info

Publication number
TWI364861B
TWI364861B TW093134783A TW93134783A TWI364861B TW I364861 B TWI364861 B TW I364861B TW 093134783 A TW093134783 A TW 093134783A TW 93134783 A TW93134783 A TW 93134783A TW I364861 B TWI364861 B TW I364861B
Authority
TW
Taiwan
Prior art keywords
carbon
plasma
graphite
free
free carbon
Prior art date
Application number
TW093134783A
Other languages
English (en)
Other versions
TW200525795A (en
Inventor
Daxing Ren
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200525795A publication Critical patent/TW200525795A/zh
Application granted granted Critical
Publication of TWI364861B publication Critical patent/TWI364861B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F1/00Electrolytic cleaning, degreasing, pickling or descaling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Description

1364861 九、發明說明: 【發明所屬之技術領域】 本發明係關於電漿加工裝置之碳化碎元件、製造元件之 方法及在半導體基材之加工期間使用元件以提供基材之減 少顆粒污染之方法。 【先前技術】 半導體基材係藉各種方法加工,包括沉積法,如金屬、 介電質及半導體材料之化學氣相沉積法(CVD);姓刻法; 及光阻劑移除法。電㈣刻法傳統上用以鞋刻金屬、介電 質及半導體材料。 【發明内容】 提供處理半導體基材加卫裝置之碳切元件之方法、製 造該元件之方法及由本發明方法處理之元件。本發明方法 係=元件移除自由碳如石墨,藉此在半導體基材於電聚加 工室内之加工期間藉由元件減少半導體基材之顆粒污染。 可由本發明方法處理之碳切元件包括,例如,喷 極組合件之緩衝板及氣體分佈板、邊緣環、聚 =室襯塾、電極、晶圓通道插入件、窗、電浆屏幕 =交佳具體例中’經處理碳切元件係 :::碳:r合成製造,其導致元件包含痕量自由碳: :匕夕兀件為多孔性,並包内部與經暴露表面。 如在石夕秦氣與碳之反應合成以製造碳化石夕期間尤^ 轉化及碳切之機H處理 & 凡全 U疋件之内部及經 97546-doc 1004601 ,露表面上包含自由碳。本文所用之術語,,經暴露表面”意 ::件之表面’其係由處理如機器研磨自碳化矽移除材料 暴露’且在表面上具有自由 較么方法處理該半導體加 裝置之碳化矽元件以除去 上之自由碳。 ^貫貝上所有在經暴露表面 種移除自由碳之較伟t 土 人“* 餃佳方法,包括在含氧氣氛中加熱包 3自由奴之半導體加工裝置 置之蛱化矽兀件以自至少元件之 -.·里暴路表面除去實質上所有自由碳。 另:較值方法包括將包含自由碳之半導體加工裝置之碳 矽兀件與可自至少暴露表面有效移除 之化學溶液接觸,而不用實質上移除碳化石夕。斤有自由反 另一較佳方法包括用氧雷漿卢 工梦罢…儿 |漿處理包含自由碳之半導體加 裝置之石反化石夕元件以自至. 上所有自由碳。 件之經暴露表面移除實質 及二:佳具體例中’物元件為多孔性並包括内部 #J X, . r '、•成内部之自由碳之方法 I成,(⑴經處理以產生具有 ..„ ,...w ^ ,目由妷於其上之經暴露表 上無自由碳。 自由兔,使至少經暴露表面實質 另一較佳具體例提供一種半 ♦.心丁〜 稷牛導體基材加工裝置,其包含 电漿加工至及至少一個碳化 ,^ t 1千杈化矽元件可為,例 如’緩衝板、氣體分佈板、電毁 環、背板、室襯塾、雷搞曰门 遠緣% ^焦 至很!電極'晶圓通道插入件 幕或室壁。在較佳具體例巾 反化矽疋件為至少一個噴頭 97546.doc 1364861 電極組合件之緩衝板供分佈加工氣體至電漿加工室内。 另-較佳具體例提供-種在半導體基材加卫裝置之 加工室内加工半導體基材之方法。半導體加工裝置包: 包含喷頭電極之喷頭電極組合件供應加工氣體之電漿二工 室及將加卫氣體通過至噴頭電極之緩衝室。在電渡加工 置内設置至少-個經移除自由碳之較佳方法處理之碳切 7C件。此法包括在提供碳化碎元件之電衆加卫室内加 導體基材。 + 在另-較佳具體例中,在電漿加工室内加工製造半導體 基材前,此室被電聚調節。電漿調節包括在室内加工製造 半導體基材前於電漿加卫室内連續地加工模擬晶圓。在= 聚加工室内提供至少-個經處理以除去自由碳之碳化矽元 件’藉此顯著地減少沉積在模擬晶圓上之相加粒顆粒之數 目以及減少室調節時間。 半導體基材加工裝置之—典型為平行板式反應器。平行 板式反應器包含具有頂電極之電漿加工室及基材支持物, 在電漿加工期間其上支持有基材如半導體晶圓。基材支持 物包含底電極及夾緊機構如機器夹頭或靜電夹頭(esc)供 夾緊基材。頂電極可為—部分噴頭電極組合件供分佈加工 乳體於電漿室内。噴頭電極組合件可包含垂直空間緩衝板 以藉由噴頭頂電極控制加工氣體之供應至噴頭電極。 半導體基材加工裝置亦可包含構成以限制電漿於電漿室 之選擇區内,例如在由基材支持物上之基材界定之區内, 其通常包含邊緣環及電漿限制環組合件。 97546.doc 在包含緩衝板之典型噴頭電極組合件中,加工氣體在透 過贺頭電極離開前通過一種以上緩衝板。電漿可侵襲至,1、 鄰接喷頭電極之孔附近之喷頭電極之底緩衝板。隨著時 間,蝕刻圖案可發展在緩衝板之下方,導致顆粒,稱為 相加粒"自缓衝板移除並沉積在基材上。相加粒可導致有 缺陷半導體裝置,如微處理器'記憶體 '電晶體等。因 此,希望在電漿加工操作期間產生之相加粒之數目降至最 少,如蝕刻開口進入半導體基材上之層内。 取 在電漿加工操作期間,半導體基材加工裝置之其他電漿 j露7L件如氣體分佈板、邊緣環、聚焦環、電聚限制環、 背板、室概塾、電極、晶圓通道插入件、窗、電聚屏幕、 室壁等亦可由電焚蝕刻,潛在地導致在電聚加工操作期間 半導體基材因經移除顆粒之污染。 該半導體基材加玉裝置之元件可自各種材料製造包括碳 切材料。該碳切元件可由各種方法如燒結法及石夕蒸氣 與,之反應合成法製造。由該反應合成法製成之碳化石夕材 =提供良好特性,如污染降低、耐磨性及設計撓性供電衆 環境使用。 可確定半導體加工裝置之碳化碎元件可包括”自 碳本文所疋義之,,自由碳”為以離散碳顆粒或碳顆粒 之鎮(聚集體)形式存在於碳切元件之⑽及/或表面上。 ”自由碳”與碳切矩陣材料有距離,其較佳具有化學計量 或近化學計量組合物。可衫除非該自由碳係自至少特定 部份該碳化石夕元件移除,當元件用於電聚環境内時,自由 97546.doc 1364861 碳可釋放作為顆粒。自由顆粒之釋放可產生相加粒,其可 污染加工於電漿環境内之半導體基材。 可確定含有自由碳之碳化以件可處理以自至少該元件 之經暴露表面移除自由破。亦可確定藉自碳化石夕元件移除 至少表面自由碳,可減少自在加工期間於包含該元件之電 聚室内之元件釋放之顆粒數目。因此,裝置之影響範圍發 生故障且由於相加粒可顯著減少而不利地衝擊經加工基材 之產率。 碳切元件之碳切材料較佳為制Μ氣由碳源之轉 化製成之高純度市面製造的碳化石夕。轉化過程包括將成形 碳f如石墨與Si〇2氣體反應,藉此產生si〇氣體,以及就 地氣相固態反應,纟中碳係與Si0氣體反應以轉化碳成為 sic並產生過量—氧化碳。起始碳料較佳為細粒、低孔隙 度、高純度等級石墨。由碳源之轉化製成之市售碳化石夕材 料為”SUPERSic"碳切,由P。⑶ Graphite,ine.,DW, 德州製造。 可確定由轉化石墨成為碳化矽製成之半導體基材加工裝 置之7G件可包含痕量自由碳如石墨顆粒,導致石墨之不完 全轉化成碳㈣。自由碳可在由石墨之不完全轉化成碳化 矽形成之碳化矽材料内呈碳粒或碳簇之形式。由該等過程 ,得之自由碳定位於碳化矽元件之内部。然而,該等元件 猎由如機器研磨及/或拋光之處理可除去表面材料並暴露 經處理表面上之自由碳,該表面稱為 '經暴露表面”。在碳 化石夕疋件之紐暴露表面之自由碳對基材之顆粒污染較位於 97546.doi 1364861 元件内部之自由碳更不宜。明確 _.^ 乃確而s,可確定包含表面自 由碳之70件可為碳粒源,直到表面自由碳完全由暴露至室 内之電漿除去為止。表面自由碳之㈣無法完全解決顆粒 問題’因為當電㈣漸聽碳切時,位㈣碳切元件 :部之自由碳變成暴露。然@,通常在規定時間内部自由 碳較表面自由碳更少暴露至電裝。 亦可確定包含由不完全碳轉化率獲得之自由礙之半導體 基材加工裝置之經Μ暴露碳切元件可處理以除去至少 位於元件之經暴露表面之自由碳以顯著地減少裝置内加工 =基材之肋污染。此外,如下所述,在處理包含在經暴 露表面及内部之自由石诗夕 目由厌之杈矽兀件之方法之具體例中,自 由碳可藉處理自經暴露表面以及内部除去。 處理碳切元件以料自由碳之方法較佳可用以處理由 碳轉化製造之元件;然而,&等方法亦可用以自其他方法 製成之碳化梦材料除去自由碳。例如碳化石夕可為燒結材 料可仵自,例如’ Cerc〇m,Inc·,of Vista,加州,
Carb〇rUndUm,InC.,Mesa,加州及Ceradyne,Inc_,〇f Costa Mesa,力σ 州。 可確定由於不完全碳轉化之結果存在於碳化矽元件内之 自由炭可。3碳簇之形式,g[7,較小碳粒之聚集體。此等 气L $可,、有尺寸為約微米至約2〇〇微, 米至約副微米。㈣,自由碳於該碳化以件内之量為 低於約1重%,如約〇5重量%或以下。 【實施方式】 97546.doc 1364861 發明提供自半導體基材加工 由碳衮置之妷化矽凡件除去自 不同方法。碳化矽元件為多 内部及妞暴並由導致存在於 處理自由碳之方法製成。此等方法包括 自由Γ 以除去至少實質上所有在經暴露表面上之 之::。較佳的是,此等處理可除去所有在經暴露表面上 由碳。此等方法之具體例亦可除去整個元件内部之自 Π? Ο 人二較佳具體例中,碳切元件係由石夕蒸氣與碳之反應 。成製成。如上所述,在該等過程中,碳如石墨之不完全 轉化可發生而導致分佈於元件内部之自由石炭。此内部自由 ,可藉機器處理暴露。除去自由碳之方法之—較佳具體例 G括在有效地自經暴露表面除㈣質上所有自由碳之溫度 及時間下’加熱碳化矽元件於含氧之氣氛内。此項加熱較 佳亦可自碳切元件内部至少除㈣定自由碳,藉此防止 經移除内部自由碳於電漿加工室内釋出。 包含自由碳之碳化石夕元件可在任何適當容器如高溫爐或 鎔爐内加熱。含氧氣氛可包括但不限於,02、空氣、水蒸 氣或其混合物。在-較佳具體例t,密封容器並藉由供氣 系統將含氧氣氛如空氣供應至容器内。 含氧氣氛較佳保持在一種溫度下,其可有效地氧化自由 碳(即,將自由碳轉化成C0、C02或其混合物),但足夠低 以貫質上避免氧化碳化矽(g卩,不利地影響碳化矽之機器 及/或物理性)。較佳的是,處理容器内之含氧氣氛之溫度 為約750°C至約1200t,更佳為約800°C至約90(rc。碳化 97546.doc 1364861 矽兀件於含氧氣氛内處理一段時帛,較佳為約2小時至約 12小時,其可有效地自經暴露表面除去至少實質上所有自 由碳。 可確疋加熱碳化矽元件包括含氧氣氛内之自由碳可除去 所有在元件之經暴露表面之自由碳。此項加熱較佳亦可有 效地除去至少整個元件内部之特定自由碳。加熱作用較佳 除去内部中至少約8G%更佳為至少約慨尺寸為約微米 之自由碳粒及/或鎮數。加熱作用亦可自元件除去較小顆 粒及/或I碳切元件内之自由碳粒及/錢數可藉任何 適當技術如顯微術、自動影像分析等以手卫或自動方式測 另一處料切元件以㈣自由碳之較佳方法包括將碳 化石^件與有效地至少自表面除去實質上所有自由碳但不 會實質上除去碳化矽之化學溶液接觸。較佳的是,化學溶 液除去低於約m碳化矽。化學溶液可為任何嗯有效 達成此結果之適當組合物。化學玄 予'合液較佳為酸性溶液,例 如,包含硝酸、硫酸等之溶液。Λ 市· 收為了增強自由碳移除之速 率,化學溶液較佳加熱至高溫。處 地!作用可包括浸泡碳化
碎兀件於化學溶液内。或者,化聲,,六y· 1 W 化予各液可藉任何其他適合 方法如噴灑應用於碳化矽元件。 可調整化學溶液之濃度以控制自 W目由碳之移除速率,使得 處理可在所欲處理時間内進行。 — T 了選擇化學溶液之濃度、 /合液/皿度、pH及其他參數以達成自山 山 逆双目由石反之所欲移除速率。 奴化石夕元件可與化學溶液接觸一 ¥間以有效除去所欲自 97546.doc 1364861 由碳里’較佳為S去至少實質上所有在元件之經暴露表面 之自由碳。 另-處理碳化矽元件以除去自由碳之較佳方法包括用氧 電漿處理元件以自至少表面實質上除去所有自由碳。例 如,碳切元件可於半導體基材加卫裝置之灰化室内處理 以除去自由碳。在此處理期間,碳化石夕元件之溫度範圍可 為,例如’約20〇t至約300°C。 奴化石夕元件之外表面可藉研磨及/或抛光切肖q以在處理 元件刖以達成所欲表面最後修整以藉由較佳方法之一除去 自由碳。此項切削可產生具有自由碳於其上之經暴露表 面。 碳化矽元件之表面可在處理元件後用電漿調節以藉上述 方法之-除去自由碳。可進行電裂調節處理以自元件之表 面除去接附顆粒如碳化矽顆粒。該接附顆粒可導致切削及 /或燒結元件。調節碳化矽元件之適當方法敘述於共有美 國專利f請案G9/6G7,922號,2_年6月则申請,其整個 併入本文供參考。 在一較佳具體例中,在加工製造半導體基材前,包含一 種以上經處理以除去自由碳之碳化矽元件之電漿加工室係 藉加工模擬晶圓電漿調節。經處理碳化矽元件較佳在加工 製造半導體基材前放入電漿加工室内。 圖1顯示在半導體基材上計數之顆粒相加粒之數目對電 漿調節包含未經處理以除去自由碳之碳化矽緩衝板之電漿 加工室(曲線A),及包含經處理於含氧氣氛内以除去自由 97546.doc 13 1364861 碳之碳化矽緩衝板之電漿加工室(曲線B)之電漿調節期間 之間之關係。水平線顯示具有尺寸為至少約〇2微米沉積 在200毫米模擬晶圓上之2〇相加粒之典型規格。 曲線B顯示具有尺寸為至少約〇2微米沉積在電漿加工室 内之200毫米模擬晶圓上之粒狀相加粒數目,就在室之電 聚調節之約2 RF小時(即’在調節期間於電漿反應器内產 生電漿之小時數目)後低於約1〇,及該粒狀相加粒數目藉 由延伸調節期間進一步降至約5。 然而,如曲線A所述,沉積在包含碳化矽下緩衝板之電 名加工室内之模擬晶圓上之未經處理以去自由碳之粒狀相 加粒數目高於20,即使在超過45 RF小時電漿調節後亦 然。因此,自由碳之移除可顯著地減少相加粒於電漿加工 室内之產生。 可错上述方法處理以除去自由碳之半導體基材加工裝置 =碳切元件包括但不限於緩衝板、氣體分佈板、邊緣 署聚,、.、環f聚限制環、背板、室襯塾、電極、晶圓通 道插入件、窗、電聚屏幕及室壁。包含該元件之半導體基 材加工裝置之典型元件敘述於美國專利6,⑵,綱號其 整個併入本文供參考。 八 山碳化石夕元件可具有各種形狀及尺寸。經處理以除去自由 :炭,含自由碳之碳化矽元件較佳可具有厚度為高達約1/4 ' 亦可如藉上述處理於含氧氣氛内處理較厚元 在較佳具體例中 一種以上經處理以除去自由碳之碳化 97546.doc • U· 1364861 此,此等缓衝板之電漿誘導腐蝕較下緩衝板22a更低關 切。然而,一種以上其他緩衝板亦可由碳化矽製成。 碳化矽缓衝板可構成為落入式更換零件供現存緩衝板, 或作為任何氣體分❹統之零件,其中其最好減少歸因於 該特定零紅。例如,碳切緩衝板可用作落入式更 換供Exelan®或4520XLE®缓衝板,其二者均由本案讓渡 人,Lam Research Corporation製造。 因為電馳合件為消耗性零件,所以希望使用非污染性 =料供㈣«之電極組合件之零件用。端視加工氣體化 而疋泫材料較佳為無鋁導電性、半導電性或絕緣性 材料亚可包括’例#,玻璃、陶瓷及/或聚合物材料如單 晶或多晶矽、石英;矽、棚、釔、鈽、鈦、鈕、鈮及/或 錯之碳化物、氮化物及,或氧化物;欽、鶴、组及/或銘之 石夕化物,金剛石等。對電漿反應室内之表面最佳為由石夕、 碳、氮及/或氧製成之材料。 電極可為導電性材料,如平面矽(如單晶矽)或自中心至 ^不均^有句勻厚度之碳化矽電極盤。然而,亦可使用具 =二旱度之電極(如敘述於美國專利91,787號之 進電極)。不且‘丁友 ,_ ^ 氣體分佈孔之不同材料及/或電極亦可 與電極紅合件一起 ^ , 災用。在一杈佳具體例中,電極為喷頭 電極,JL右工 〃 干隔開排氣通道,其具有尺寸及分佈適合供 應加工氣體,艾 ^ ”’、由電極賦能並在電極下方反應室内形成 電聚。 圖3顯示噴頭電 0’其可取代供包含圖2所示之噴頭電 97546.doc •17- Οϋΐ 極10及支持環12之喷頭電 極㈣藉可定位於圖4所干之二“頭電極40中,電 古拄严^ 之凹面48之彈性接頭46連接至 =㈣連續延伸環繞支持環 接至 與外壁5〇間。各壁5〇可盡量薄,如⑽密耳,:圖“) 性體在與各壁5〇接觸 見-谷許彈 至2微米大小的填充=形成薄層(如在彈性體包含。.7 心厚層(如約 壁所形成之凹面可為極端淺,如 〜,以提供具有充分強度以黏合電極至支持環, 但容許喷頭電極組合件40之溫度循環期間在電極4技支持 環44間之相對移動之薄彈性接頭。 可選擇電極組合件之面積以配合電極組合件所欲用途之 需求。例如’若電極用以加工8吋晶圓時,件及可具有略 低於約9时之直徑,支持環在電極與支持環間之界面可具 有越低於約0.5吋之寬度。 彈性接頭可包含任何適當彈性材料,如聚合物材料其 可與真空%境相容且對在高溫如在2〇〇Qc以上之熱降解有 抗性。彈性體材料可視需要包含導電及/或導熱性顆粒之 填充料或其他形狀填充料,如金屬絲、織物或不織物導電 性編織品等。彈性接頭之進一步細節敘述於美國專利 6,073,577號’其整個併入本文供參考。 經處理以除去自由碳之碳化矽元件可設置於電漿加工室 内’其用於各種電漿加工包括各種介電質材料之電漿姓 刻’如經摻雜氧化矽如氟化氧化矽(FSg);未經摻雜氧化 石夕如二氧化矽;旋壓玻璃(SOG);石夕酸鹽玻璃,如矽酸鱗 97546.doc -18- 1364861 = rSG)騎酸㈣鹽(PSG);崎雜或未經掺雜熱生 摻雜或未經摻請⑽沉積的氧切等。介 電質材料可覆蓋在導電或半導 电乂千等冤性材枓上,如多晶矽,·金 =如結、銅、欽、嫣、翻或其合金m 欽;金屬石夕化物,如石夕化欽、石夕化銘、石夕化鶴、石夕化翻 專。例如,氣體分佈系統可用於電槳钮刻波紋結構。 電榮·可為在各種類型雪發# 个里艰生电水加工裝置内產生之高密度電
1該電漿加工裝置通常具有高能量源,其使用能量、 微波能量、磁場等以產生高密度電槳。料,高密度電漿 可在變壓器搞合電漿(TCPTM)内產生,其亦稱為誘導輕合 電漿反應器、電子迴旋加速器共振式(ecr)電漿反應 "α螺旋波式電漿反應器等内製成。可提供高密度電漿之 高流動電漿加工裝置揭示於共用美國專利5,82〇,723號其 整個併入本文供參考。 本發明參照較佳具體例說明。然而,熟悉此技藝者當可 明白,在不脫離本發明精神外可使用上述以外之特定形式 φ 之本發明。較佳具體例為例示性,不應以任何方式視為限 制性。本發明之範圍由所附請求項而非前述說明指定,所 有落入請求項範圍内之變異及相等物皆希望涵蓋於其中。 【圖式簡單說明】 圖1為顯示在半導體基材上計數之顆粒相加粒之數目對 電漿調節包含未經處理以除去自由碳之碳化矽緩衝板之電 聚加工室(曲線Α),及包含經處理以除去自由碳之碳化矽 緩衝板之電漿加工室(曲線Β)之RF小時間之關係之圖表。 97546.doc 19 1364861 30、32 0環 40 喷頭電極 42 電極 44 支持環 46 彈性接頭 48 凹面 50 外壁 97546.doc -21 -

Claims (1)

  1. 丄364861 \ \9 第G931347峨專利申請案 , 一一一 ' 中文申請專利範圍替換本(100年10月) 十、申請專利範圍: 種半導體基材加工裝置之碳化矽元件,該碳化矽元件 為多孔性並包含一内部及一經暴露表面,該碳化矽元件 係(1)藉由一造成在該内部之包括石墨形式之自由碳之該 厌化石夕元件的石墨轉換方法製成;(ii)經處理以產生一具 有以該石墨形式之自由碳於其上之經暴露表面;及⑴D 絰處理以除去該自由碳,使至少該經暴露表面實質上無 自由碳,其中該碳化矽元件係選自由一緩衝板' 一電漿 限制環及一邊緣環所組成之群組。 々明求項1之碳化矽元件,其中碳化矽元件係一緩衝 板。 4. 5. 6. 種自如凊求項1之碳化矽元件移除石墨形式之自由碳 十法㉟碳化石夕儿件為多孔性並包含一内部及一經暴 :表面,該碳化石夕元件在該内部及在該經暴露表面上包 二,料之自由碳,該方法包括處理該碳切元件以 '該經暴露表面上之該石墨形式之自由碳。 如印求項3之方法,直中故几* 反應合成製成/ 切元㈣切蒸氣與碳之 之方法,其中該處理包括在有效地自至少該 於一含备〜 料式之自由碳之溫度及時間下, 、3氧氧氧内加熱該碳化矽元件。 如請求項5之方法,其中該 或約_至約900。。,該時=〇C至約^ 時。 .間為從約2小時至約丨2小 97546-丨 001018.doc 7.如請求項3 一化與物、、法,其中該處理包括將該碳化矽元件與 表面IS接觸1該化學溶液可有效地自至少該經暴露 8 ^ w石墨形式之自由碳而不會除去碳化矽。 8·如請求項^ 碳化矽-該處理包括以一氧電漿處理該 &凡件以自至少該經暴露表面除去該石墨形式之自 由石反β 9 ·如請求項3 $古、、也 . 、 法,進一步包括在該處理後以電漿調節 u奴化矽元件之該經暴露表面。 10.如請求項3 $卞·、土 ^ ^ 之方法,其中該石墨形式之自由碳係呈現碳 7 —或蚊簇之形式而該處理可除去至少約9〇%在該碳化 夕凡件之該内部令具有尺寸為至少約50微米之碳粒及/或 礙簇之數。 ,項3之方法,其中該碳化石夕元件主要由碳化石夕虚 該石墨形式之自由碳所組成。 12. 如請求+ 、之方法’其中該碳化矽元件係一喷頭電極組 合件之一緩衝板。 13. -種半導體基材加工裝置其包含電聚加工室及至少一 個如叫求項1之碳化矽元件於電漿加工室内。 14. 如吻求項13之半導體基材加工裝置,其中該電漿加工室 為姓刻室。 15. —種在半導體基材加工裝置之電漿加工室内加工半導體 基材之方法,噴頭電極組合件供應加工氣體進入半導體 基材加工裝置内’噴頭電極組合件包含一喷頭電極、一 加工氣體通至噴頭電極之緩衝室及於緩衝室内之如請求 97546-1001018.doc 1364861 項2之一碳化矽緩衝板,該方法包括: 放置一製造半導體基材在該電漿加工室内之一基材支持 物上; it 供應加工氣體於該缓衝室内,該加工氣體通過該碳化 石夕緩衝板進人該碳化⑦緩衝板與該噴頭電極間之一空間 内’接著通過該噴頭電極並進入該電漿加工室之 部;及 材 用通過該喷頭電極 之*亥加工氣體加工該製造半導體基
    16.如凊求項μ之方法,進一 電極來蝕刻在製造半導體 該加工氣體形成一與該半 之電漿。 步包括藉供應RF電力至該喷頭 基材上之一介電質材料層,使 導體基材之一經暴露表面接觸 17·如%求項15之方法’進—步包括在放置該製造半導體基 材在该電f加工室内之該基材支持物上以前將該電衆加 工室電漿調節。
    18·如請求項17之方法,其中該電漿調節包括在加工該製造 半導體基材,前在該電漿加工室内連續加卫模擬晶圓,其 中在電漿調節該電漿加工室最多約2 RF小時後,沉積: 該模擬晶圓上之具有至少約〇.2微米尺寸之相加粒數目為 低於約20。 19.如π求項18之方法,其中在電漿調節該電衆加工室最多 約2 RF小時後,沉積在該模擬晶圓上之具有至少約ο·〗微 米尺寸之相加粒數目為低於約1〇。 97546-1001018.doc 1364861 - 20.如凊求項17之^ 中^化矽緩衝板係在電漿調節 該電漿加工室前放入該電漿加工室内。 21_ —種製造如請求項1之該碳化石夕元件之方法其包括· 藉由一導致在該碳化矽元件之一内部内包含石墨形式 之自由碳之該碳化矽元件之石墨轉換方法製造該碳化矽 - 元件; 除去一部分碳化矽元件以產生其上具有石墨形式之自 由碳之一經暴露表面;及 籲 處理該碳化矽元件以除去在該經暴露表面上之該石墨 形式之自由碳。 22. 如請求項21之方法,其中該碳化硬元件係切蒸氣與碳 之反應合成製成。 九 23. 如請求項21之方法,其中該處理包括在有效地自至少該 經暴露表面除去該石墨形式之自由碳之溫度及時間,S 一含氧氣氛内加熱該碳化矽元件。 ' # 24.如請求項23之方法,其中該溫度為約75〇χ:至約丨2〇〇 °C,或約80(TC至約90(TC,該時間為約2小時至約丨 時。 小 25·如請求項21之方法’其中該處理包括將該碳化石夕元件與 一化學溶液接觸,該化學溶液可有效地自至少該經暴露 表面除去該石墨形式之自由碳而不會除去碳化矽。 26·如請求項21之方法,其中該處理包括以一氧電聚處理节 碳化石夕元件以自至少該經暴露表面除去該石墨形式之自〆 由碳。 97546-1001018.doc 1364861 27. 如請求項21 該碳化矽元件係一緩衝板。 28. 如請求項21之方法,其中該移除包括以機械方式處理該 碳化矽元件以自其除去碳化矽。
    97546-1001018.doc
TW093134783A 2003-11-14 2004-11-12 Silicon carbide component of semiconductor substrate processing apparatuses treated to remove free-carbon TWI364861B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/706,938 US7267741B2 (en) 2003-11-14 2003-11-14 Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon

Publications (2)

Publication Number Publication Date
TW200525795A TW200525795A (en) 2005-08-01
TWI364861B true TWI364861B (en) 2012-05-21

Family

ID=34573409

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093134783A TWI364861B (en) 2003-11-14 2004-11-12 Silicon carbide component of semiconductor substrate processing apparatuses treated to remove free-carbon

Country Status (7)

Country Link
US (2) US7267741B2 (zh)
EP (1) EP1691938B1 (zh)
JP (2) JP5043439B2 (zh)
KR (1) KR101134328B1 (zh)
CN (1) CN1890034B (zh)
TW (1) TWI364861B (zh)
WO (1) WO2005050705A2 (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050253313A1 (en) * 2004-05-14 2005-11-17 Poco Graphite, Inc. Heat treating silicon carbide articles
US7728823B2 (en) * 2004-09-24 2010-06-01 Apple Inc. System and method for processing raw data of track pad device
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
EP1793021A3 (en) 2005-12-02 2009-01-14 Rohm and Haas Electronic Materials LLC Method for semiconductor processing using silicon carbide article
US8789493B2 (en) 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US7848075B2 (en) * 2006-07-19 2010-12-07 Ngk Insulators, Ltd. Electrostatic chuck with heater
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7732320B2 (en) * 2007-02-05 2010-06-08 Suss Microtec Ag Apparatus and method for semiconductor wafer bumping via injection molded solder
US20090151870A1 (en) * 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
EP2311075A1 (en) 2008-06-09 2011-04-20 Poco Graphite, Inc. A method to increase yield and reduce down time in semiconductor fabrication units by preconditioning components using sub-aperture reactive atom etch
US8500047B2 (en) * 2008-11-14 2013-08-06 Chengjin Yu Method of removing backing adhesive of carpet and the device thereof
JP5630333B2 (ja) 2011-03-08 2014-11-26 信越化学工業株式会社 易焼結性炭化ケイ素粉末及び炭化ケイ素セラミックス焼結体
JP5569445B2 (ja) * 2011-03-17 2014-08-13 新日鐵住金株式会社 鋼中介在物の分離方法および粒度分布分析方法
US9048066B2 (en) 2012-07-03 2015-06-02 Spts Technologies Limited Method of etching
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
FR3011124A1 (fr) * 2013-09-26 2015-03-27 St Microelectronics Tours Sas Composant scr a caracteristiques stables en temperature
JP7152846B2 (ja) * 2017-06-19 2022-10-13 日本特殊陶業株式会社 炭化珪素部材の製造方法
EP3976851A1 (en) * 2019-05-27 2022-04-06 Schunk Xycarb Technology B.V. A chemical vapor deposition chamber article
USD979524S1 (en) 2020-03-19 2023-02-28 Applied Materials, Inc. Confinement liner for a substrate processing chamber
USD943539S1 (en) 2020-03-19 2022-02-15 Applied Materials, Inc. Confinement plate for a substrate processing chamber
US11380524B2 (en) 2020-03-19 2022-07-05 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59142839A (ja) * 1983-02-01 1984-08-16 Canon Inc 気相法装置のクリ−ニング方法
US4702900A (en) * 1985-04-08 1987-10-27 Bridgestone Corporation Method of producing silicon carbide
US5589116A (en) * 1991-07-18 1996-12-31 Sumitomo Metal Industries, Ltd. Process for preparing a silicon carbide sintered body for use in semiconductor equipment
FR2740170B1 (fr) * 1995-10-20 1998-01-02 Schlumberger Services Petrol Procedes et dispositifs de mesure de la resistivite de la boue dans un puits d'hydrocarbure
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5911833A (en) * 1997-01-15 1999-06-15 Lam Research Corporation Method of in-situ cleaning of a chuck within a plasma chamber
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6872322B1 (en) * 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6464843B1 (en) * 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6695984B1 (en) * 1998-08-07 2004-02-24 Bridgestone Corporation Silicon carbide sinter and process for producing the same
US6419757B2 (en) * 1998-12-08 2002-07-16 Bridgestone, Corporation Method for cleaning sintered silicon carbide in wet condition
JP3433392B2 (ja) * 1999-01-12 2003-08-04 セントラル硝子株式会社 クリーニングガス及び真空処理装置のクリーニング方法
JP2001019552A (ja) * 1999-07-09 2001-01-23 Bridgestone Corp 炭化ケイ素焼結体及びその製造方法
US6322716B1 (en) * 1999-08-30 2001-11-27 Cypress Semiconductor Corp. Method for conditioning a plasma etch chamber
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6274500B1 (en) * 1999-10-12 2001-08-14 Chartered Semiconductor Manufacturing Ltd. Single wafer in-situ dry clean and seasoning for plasma etching process
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP4567867B2 (ja) * 2000-10-24 2010-10-20 キヤノンアネルバ株式会社 磁気記録ディスク用成膜装置及び磁気記録ディスク製作方法
JP4141158B2 (ja) * 2001-09-28 2008-08-27 新日本製鐵株式会社 耐食性、耐スポーリング性、乾燥性に優れた不定形耐火物用SiC、及び不定形耐火物原料
JP2003106404A (ja) * 2001-09-28 2003-04-09 Jatco Ltd トルクコンバータ
US6899785B2 (en) * 2001-11-05 2005-05-31 International Business Machines Corporation Method of stabilizing oxide etch and chamber performance using seasoning
WO2003040059A1 (fr) * 2001-11-08 2003-05-15 Bridgestone Corporation Procede de fabrication de support de frittage de carbure de silicium destine a etre employe dans la production de semi-conducteurs et support de frittage de carbure de silicium ainsi fabrique
JP4056774B2 (ja) * 2002-03-26 2008-03-05 住友大阪セメント株式会社 発熱体及びその製造方法
JP4028274B2 (ja) * 2002-03-26 2007-12-26 住友大阪セメント株式会社 耐食性材料
US6767836B2 (en) * 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US7509962B2 (en) * 2005-01-21 2009-03-31 Tokyo Electron Limited Method and control system for treating a hafnium-based dielectric processing system

Also Published As

Publication number Publication date
US7267741B2 (en) 2007-09-11
CN1890034B (zh) 2012-04-18
WO2005050705A3 (en) 2006-03-02
EP1691938B1 (en) 2012-10-17
KR20070039471A (ko) 2007-04-12
JP2012134535A (ja) 2012-07-12
EP1691938A4 (en) 2010-04-14
JP2007511911A (ja) 2007-05-10
US20050106884A1 (en) 2005-05-19
WO2005050705A2 (en) 2005-06-02
EP1691938A2 (en) 2006-08-23
JP5043439B2 (ja) 2012-10-10
CN1890034A (zh) 2007-01-03
KR101134328B1 (ko) 2012-04-09
TW200525795A (en) 2005-08-01
US20080023029A1 (en) 2008-01-31

Similar Documents

Publication Publication Date Title
TWI364861B (en) Silicon carbide component of semiconductor substrate processing apparatuses treated to remove free-carbon
KR100504614B1 (ko) 반도체 처리를 위한 가스 분산장치
TWI232891B (en) SiC material, semiconductor device fabricating system and SiC material forming method
TWI338725B (en) Methods for etching dielectric materials
CN100545304C (zh) 用于半导体处理设备的陶瓷件
TW200949933A (en) Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter
CN105074902B (zh) 静电卡盘装置
US20030064225A1 (en) Diamond-coated member
TW200901270A (en) Erosion resistance enhanced quartz used in plasma etch chamber
JP2007291528A (ja) 処理装置
KR980011810A (ko) 플라즈마 반응기에 유용한 탄화규소 화합물
TW201207920A (en) Method and apparatus for cleaning a substrate surface
TW200847272A (en) Aluminum-plated components of semiconductor material processing apparatuses and methods of manufacturing the components
JP3984820B2 (ja) 縦型減圧cvd装置
JPH10236871A (ja) 耐プラズマ部材
JP2003166059A (ja) 成膜装置及び成膜方法
KR20210003709A (ko) 식각 특성이 향상된 화학기상증착 실리콘 카바이드 벌크
JP2533679B2 (ja) 盤状セラミックスヒ―タ―及びその製造方法
CN100490110C (zh) 静电吸附装置
JP2008260665A (ja) 炭化ケイ素単結晶の製造方法および製造装置
TWI777799B (zh) 大尺寸的氧化釔燒結體
JP2000143348A (ja) 窒化アルミニウム焼結体及びその製造方法並びにこれを用いた半導体製造装置用部材
JP2019009271A (ja) プラズマ処理装置用電極板およびプラズマ処理装置用電極板の製造方法
JP2012117141A (ja) 耐蝕性部材
JP2003023002A (ja) チャンバー内壁保護部材及びプラズマ処理装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees