TWI352744B - Method for silicon nitride chemical vapor depositi - Google Patents

Method for silicon nitride chemical vapor depositi Download PDF

Info

Publication number
TWI352744B
TWI352744B TW095120849A TW95120849A TWI352744B TW I352744 B TWI352744 B TW I352744B TW 095120849 A TW095120849 A TW 095120849A TW 95120849 A TW95120849 A TW 95120849A TW I352744 B TWI352744 B TW I352744B
Authority
TW
Taiwan
Prior art keywords
gas
nitrogen
substrate
layer
ammonia
Prior art date
Application number
TW095120849A
Other languages
English (en)
Other versions
TW200708628A (en
Inventor
R Suryanarayanan Iyer
Sean M Seutter
Sanjeev Tandon
Errol Antonio C Sanchez
Shulin Wang
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200708628A publication Critical patent/TW200708628A/zh
Application granted granted Critical
Publication of TWI352744B publication Critical patent/TWI352744B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

1352744 九、發明說明: 【發明所屬之技術領域】 本發明之具體實例一般而言係關於用於沉積含矽和氮材 料之方法’且更具體而言’本發明之具體實例係關於用於熱沉 積氣化守材料之化學氣相沉積技術。 【先前技術】 例如氮化矽等含矽薄膜之熱化學氣相沉積法(CVD )是 在製造半導體裝置時所使用的一種最先進技術的前段製程。例 如,在用於沉積氮化矽之熱CVD製程中,熱能係用於使得一種 或以上之包含矽前驅物的原料化學品發生斷裂,以在基板表面 形成氮化矽之薄膜。傳統的含氮化矽材料之熱CVD係典型地在 提高之製程溫度下,在批式爐或在單晶圓沉積室中實施。隨著 裝置之幾何尺寸縮小以使得整合更為快速,因此用於沉積薄膜 之熱預算(thermal budget)必須加以降低,以達成避免裝置受 到損壞、令人滿意的加工結果、優良 κ艮的生產良率、及穩固的裝 置性能。雖然已有某些使用沉積溫度為 没马650 C以下之用於含氮 化矽材料之CVD製程的提案,但是並盔 疋迹無僅使用熱處理(亦即, 無電漿或光子輔助之製程)已顯 在製造+導體裝置時具有適 用於大規模生產之利用價值。 因此’其係需要一種在溫度Λ 反為約65(TC以下沉積例如氮 化矽和氧氮化矽之含氮化矽材料的方法。 【發明内容】 本發明之具體實例一般而言係坦 无故供—種用於沉積含矽和 氮之薄膜的方法。在一具體實例中, 仏 —種用於將含氮化矽層沉 積在基板上之方法’係包括下列步膝. 驟·將位在製程反應室(
1352744 processing chamber)内之基板加熱至温度為约650。(:以下 含氮氣體流入製程反應室;將含氮氣體流入製程反應室; 含氮化矽層沉積在基板上。在一具體實例中,該含矽氣體 含胺基二矽烷化合物、矽烷基疊氮化合物、矽烷基聯胺化 、烧基發氮烧化合物(alkylsilazane compound)、其衍生物 其組合中之至少一種。在一具體實例中,胺基二發炫化合 化學式為R2NSiR,2SiR,2NR2,石夕烷基疊氮化合物之化學 hSiN3 ’且矽烷基聯胺化合物之化學式為R,3SiNRNR2,其 R和R’係包含各自分別選自由氫、鹵素、烷基、烯基、炔 脂肪族烷基、環狀烷基、芳香族基、有機矽烷基、烷胺基 有氮或矽之環狀基、或其衍生物所組成之族群中之至少一 在另一具體實例中,該R和R’係又含有各自分別選自由氣 甲基、乙基、丙基、異丙基、三曱基矽烷基'吡咯啶、其 物、或其之組合所組成之族群中之至少一種。在另一具體 + ’該燒基矽氮烷化合物是1,3,4,5,7,8-六甲基四矽氮烷。 【實施方式] 為容易暸解,其中係使用完全相同的元件符號,若 時’對於圓中共同的同一元件則附以相同符號》可預期的 具趙實例之某些元件代表符號係可相輔相成並存於其他具 例。 〔本發明之最佳實施方式〕 本發明之具體實例係提供一種用於使用約 6 5 0 °C以 溫度將例如氤化矽等之含矽層沉積在基板上之方法。雖然 明將針對如第1圖所示之單晶圓熱化學氣相沉積(製程) 室1 00為例加以說明,但是該方法係也可相輔相成實務應 其他沉積系統,包括批式沉積系統在内。此等之中’可實 :將 及將 係包 合物 、或 物之 式為 中該 基、 、含 種。 基、 衍生 實例 可能 是一 體實 下之 本發 反應 用在 施氮 1352744 化矽沉積製程之製程反應室係包括:可獲自應用材料(App丨丨以 Materials )股价有限公司(加州Santa Clara )之SINGEN®或 SINGEN Plus®製程反應室》適合於實施本發明之其他系統的實 例係包括:可獲自曰本東京電子有限公司(Tokyo E】ectron Limited)之TELFORMULA®批式爐;可獲自應用材料股份有限 公司之FLEXSTAR®迷你型批式矽沉積系統;及可獲自asm International N_V.之EPSILON®單晶圓磊晶反應爐。 除熱CVD之外,其他可用於沉積氮化矽材料之製程係包 括.脈衝- CVD、及原子層沉積(ALD)製程。在脈衝_CVD製 程中,例如矽前驅物和反應物等之試劑係以共流和脈衝導入製 程反應室。在ALD製程中,例如矽前驅物和反應物等之化學藥 劑’則係以各自和時序脈衝控制導入製程反應室。電漿增強沉 積技術也可使用於ALD或CVD之任一製程中。在本文中所揭 示之沉積製程中,可將含氮化矽材料沉積在單一基板或成批基 板上。 在第1圖之具體實例中’製程反應室丨〇〇係包括聯結到 栗送系統138之反應室本艎1〇2、控制器ι46、及氣體控制面板 (gas panel )136。反應室本體1〇2具有壁1〇6、底板1〇8、及 限定内部容積104之蓋11〇。本體1〇2之壁1〇6係加以熱調節 。在一具髅實例中,數個導液路112係設置在壁1〇6内,且加 以構成為可用以調節反應室本體1〇2之溫度的熱傳液體在該導 液路U2内循環。壁106也額外地包括一基板存取口 128,構 成為使得基板122之工件容易從製程反應室1〇〇進出。 基板支撑台座124係配置在反應室本體1〇2之内部容積 1 〇4内,用以在加工處理時支撐基板122^基板支撐台座124係 包括構成為可調節基板122之溫度和/或加熱製程反應室1〇〇之 内邛合積104之加熱器120。如第1圊所示之具體實例中,加 -7 -
比2744 熱器120是-種連接到電源π6之電阻加熱天 加熱至溫度為55OeC以上者。 台座升降機組1 30係聯結到基板支撐台 成為可在介於上升的加工處理位χ (如第1圈 由基板存取口 128存取位在台座124上的基板 之間控制台座124之高度。台座升降機組13〇 用撓性波紋管132聯結到反應室本體丨〇2之屬 降機組130視需要也可構成為使得台座124名 之方式。 氣體控制面板1 3 6係聯結到製程反應室 可對製程反應室本體之内部容積1〇4供應製程 氣體。如第1圖所示之具體實例中,氣體控制 氣體官線140聯結到在反應室本趙之蓋1 口 134。當然,進口 134可形成為穿過反應室 或以上之其他位置。 喷淋頭144係聯結到反應室本體1〇2, 控制面板136所供應至製程反應室1〇〇之内部 之均勻分佈。喷淋頭144係包括穿孔區154。 形成的許多孔係以能提供經通過喷淋頭丨44而 氣體之預定流動分佈之方式來構成其尺寸、幾 分佈 頂起銷114(其中之一係展示於第1圖 將基板122從基板支撐台座124之上表面分離 取口 128伸入製程反應室本體之機械手(未圊 如第1圖所示之具體實例中,頂起板1 1 8係設 座124之下面,且加以配置成使得台座124在 114則將在台座124完成其下降行程之前,即接 〕件,且可將基板 座 124,且係構 丨所示)與易於經 122的下降位置 係以封閉方式使 i板108。台座升 .加工處理時旋轉 1 00,且構成為 .用化學品及其他 面板1 3 6係經由 10中所形成的進 本體102的一個 用以改善由氣體 容積104的氣體 在穿孔區1 5 4所 到達基板1 2 2的 何配置、數量和 中)係配置用於 ’以易於經由存 示)移出基板。 置在基板支撐台 下降時,頂起銷 觸到頂起板Π 8 X352744 。藉此,頂起板118將支擇頂 ’頂起銷114從台座上之表面 起銷114之長度係構成為當台 基板122位於與基板支撐台座 128排成一線之位置。 起銷114使得台座124繼續下降 伸出。頂起板118之位置和/或頂 座124位於下降位置時,能使得 124相隔,且通常是在與存取口
泵送系統138係聯結到在反應室本體1〇2所形成的泵送 口 126。泵送系統138通常是包括配置成可控制在製程反廯室 之内部容積丨04之内的壓力之節流閥及一個或以上之^。 從内部容積104流向泵送口 126之氣體係可經由泵送環等 之路徑,以改善氣體均句地在基板122之表面上流動。一種可 相輔相成地適用於本發明之泵送環係揭示於美國發明專利第 1〇/911,208號,建檔於2004年1〇月4日且公告於美國發明專 利第2005-01092 76號,其中全部之内容併入本文參考。 控制器1 46係聯結到製程反應室丨〇〇之各組件以使其 容易控制如下所述氮化矽之沉積製程。控制器146通常是包括 中央處理單元(CPU) 150、記憶體148、及支援電路152。cpu 150可為任何形態之可使用工業用調節裝置之用於控制各反應 室之電腦處理機及副處理機。記憶體148、或電腦可讀之儲存 媒體係可為一種或以上之可容易獲得之記憶體,例如隨機存取 記憶體(RAM )、唯讀記憶體(ROM )、軟磁碟 '硬碟、快閃 β己憶體 '或任何其他形態之區域性或遙控式數位储存器等。支 援電路152係聯結到CPU 150,以傳統方法支援處理機。此等 支援電路152係包括快取記憶體、電源 '時鐘電路、輪入/輸出 電路及子系統等。例如,一種如下所述之含矽材料沉積製程 200之製程通常是儲存於記憶體148,其係典型地作為軟體程弋 。軟體程式也可加以儲存和/或藉由遙控以CPU 150所控制的硬 體之第二CPU (未圖示)來執行。雖然本發明之沉積製程係描 1352744 述為以軟趙程式實施’但是揭示於其中的某些方法步驟,係可 在硬體及藉由軟體控制器來實施。因此,本發明可在電腦系統 上之軟想中執行、在應用特定的積體電路或其他類型之硬體中 執行、或軟趙與硬趙之紐合併用。 第2圖係展示含矽材料沉積製程2〇〇之一具體實例,其 係可在製程反應室100、或其他適當的設備中實施。方法2〇〇 係從將基板122放置在基板支撐台座124上之步驟2〇2開始。 關於可實務應用本發明之氮化矽沉積製程之具體實例之基板 122係包括(但是並不受限於此等):例如結晶質矽(例如,
Sl<1〇〇>、或Sl<1 1卜)、氧化矽、應變矽、矽在絕緣體上( ) 錯化梦、及摻雜或非摻雜多晶石夕(polysilicon)等之半 導體晶圓。用於沉積氮化矽層之基板表面可為裸矽、介電質材 =、導電性材料、阻障性材料等。基板1Z2在沉積含矽材科 刖,視需要可藉由拋光步驟、蝕刻步驟、還原步驟氧 匕步驟、氫氧化(hydroxylation)步驟、和/或退火來 實施預處理。 V驟等 在步驟204中,基板122係加熱至溫度為約65〇 具體實例中’基板1 2 2係藉由從電源1 1 6對電(ΐ且今 元杜〈+ Λχ> ,即,加熱器120)施加電力,以將基板122加熱 度為介於約400與約650亡之間,而在另一具體實例中“,、' 至溫 122係加熱至约6〇〇t以下。 基被 在步驟206中,來自氣體控制面板136之含氮氣 ίδ ctj οΑ- I 流係 由喷淋頭144供應至製程反應室10〇之内部容積。 、 ΐ晶登· β 氧1翁4 展疋從氣體控制面板136供應至進口 134。 適當的含氮氣體之實例係包括(但是並不受限於此等 •氣氣(NH3)、聯胺(N2h4 )、氫疊氮(hn3 )、其御4 ) -¾發 /、4生物、 -’、之組合。含氮氣體較佳為含有用於在低溫分解氮源氣體之 -10-
1352744
氮.氮單鍵(亦即,NN 氣截在说 ❶除此之外,當梦源 虱體係使用於製程氣逋混合 ^ 數量之氮务中時,則為在沉積時 之氮源虱體包含在氣體 組成物。在—具器眘加± =物中,以進一步控4 、冑中’含氮氣體係氨氣。 在步驟208中,矽源 噴淋頭144供麻$ β @ +礼體流係從氣體控制面相 μ 供應至反應室本 氣體:體1 〇2之内部容積1〇4 礼趙控制面板136與進口 140 , y„ s 34之間係展示僅佈置- 仁疋可預期的是矽源氣 線體和含氮氣體係可在分眉 琛供應至製程反應室1〇〇。 其、、B择 可預期的是氣體管線·5 具/皿度。此外,也可預期的 Α半跑疋了將在步驟206之含I 在步驟208之矽源氣體一 〜l〇J呀導入進口 134、戎j 或步驟208之任—者、或 ^ ^帮206係在步驟208之男 ,、,、'。再者,步驟20ό和步驟2()s 鄉208可加以程式化之方5 學品計量時間可加設計, T 以確保原子層覆蓋率,j 之間以吾所欲惰性氣體例如氬氣充分地淨化。 可用於藉由熱化學氣相沉積法在低溫和充分高 下製造氮化發材料或層之梦>原氣體係包括·· Λ有一個哀 Ν鍵或SiCl鍵之化合物,例如雙(三級丁基胺基 BTBAS)、或六氣二矽烷(HCD或等。在某 例中,在前驅物中又包含:Si-Si鍵、N-N鍵、N = N鍵 和Si-C丨鍵之混合物、或其之组合等也是相輔相成的。 當在沉積製程中使用一種含有Si-C1官能基和s 基之組合的化學前驅物時’則將可改善梯階覆 coverage)和微負載(micr〇1〇a(jing),尤其是可在適 速率下皆可降低溫度者。Si_cl基之數量可根據相對於 之數量來加以變化。Si-Cl和S-N鍵顯示對於層性質和 是具有不同之功效,且Si-N對S-C1鍵之比率也可用 ,體和含氮 可將若干 沉積層之 1 3 6經由 雖然介於 氣體管線 的氣體管 加以控制 氣體連同 步驟206 ’反之亦 ’使得化 在各步驟 'ά積速率 <以上S i _ )矽烷( 些具體實 '或 Si-N ii-N官能 蓋(step 當的沉積 Si-N 基 沉積性質 於平衡層 -11 ' 1352744 性質和沉積性質β 在一具體實例中,具有如上所述之較佳的鍵結構之化合 物係可具有下列通式結構: (I) R2NSiR’2SiR’2NR_2(胺基二珍院化合物)、 (II ) R3SiN3 (矽烷基疊氮化合物)、或 (III) R’3SiNRNR2 (矽烷基聯胺化合物)》
在如上所示通式結構中,R和R’係包括選自由氫基、鹵 素基、烷基、烯基、炔基'脂肪族烷基、環狀烷基、芳香族基 、有機石夕坑基、统胺基、含有或珍之環狀基、其衍生物、或 其之組合所組成之族群中之一種或以上的官能基。 適當的官能基之實例係包括:氣基、甲基、乙基、丙基 、異丙基 '三甲基矽烷基、吡咯啶、其衍生物、或其之組合。 適當的化合物之實例係包括:1,2-二乙基-肆(二乙基胺基)二 矽烷〔(CH2CH3((CH3CH2)2N)2Si)2〕、1,2-二氣-肆(二乙基胺 基)二矽烷〔(Cl((CH3CH2)2N)2Si)2〕、陸(N-吡咯啶基)二矽 烷〔((C4H9N)3)Si〕2〕、1,1,2,2-四氣-雙(二-三曱基胺基) 二矽烷〔(Cl2((CH3)3Si)2N)Si〕2〕、1,1,2,2-四氯-雙(二-異 丙基)二矽烷〔(Cl2((C3H7)2N)Si)2〕、1,2-二甲基-肆(二乙基 胺基)二矽烷〔(CH3(CH3CH2N)2Si)2〕、三甲基矽烷基疊氮〔 (CH3)3SiN3〕、參(二甲基胺基)矽烷疊氮〔((CH3)2N)3SiN3〕 、2, 2-二曱基聯胺二甲基矽烷〔(CH3)2(H)Si〕(H)NN(CH3)2〕、 1,3, 4, 5, 7, 8 -六甲基四矽氮烷、其之衍生物、或其之組合。 吾相信具有矽對矽單鍵(亦即,Si-Si單鍵)之矽源氣體 (前驅物)或矽和氮源氣體(前驅物)是能使得分子在例如約 5501或以下之降低的溫度下發生分解或解離β 適當的矽源氣體之其他實例係包括:矽烷基疊氮類R3· SiN3及矽烷基聯胺類之前驅物R3SiNR-NR2、線狀及環狀之具有 -12 - 1352744 機官能基,例如甲基、乙 ’烷基類、烯類、或炔類 —種胺基NH2或NR2。使 任何R基之组合。R基可為氫基或有 基 '丙基、丁基、及其類似物(例如 )等。連接到妙之R基視需要可為另 用此矽源氣體之 入’然而避免含有氣 一優點是矽和氮是同時輪 減至最少之圖案依存性 以獲得薄膜係具有優良梯階覆蓋和 pattern dependence)(稱為 r 圖案負載(patterni〇ading)」) ’並無非吾所欲對傳統的Si_N薄膜前驅物是難題之形成氣化敍 微粒。
應注意的是N-N鍵也存在於氫疊氮、聯胺和曱基聯胺、 及在經實施CVD沉積之含氮化矽薄膜之中。然而,對於此等後 者之前驅物而言,其係需要分開添加的矽源,且低溫矽源例如 二梦院係顯示梯階覆蓋不良和圖案負載高,而六氣二矽烷( HCD )係具有氣化銨微粒之問題。應注意的是胺基二矽烷類例 如BTBAS係顯示圖案負載最小且梯階覆蓋優良,並無氯化銨的 顧慮’供應完整的Si-N鍵單元似乎是要求條件,胺基二石夕烧類 通常是需要充分地超過600°C之製程溫度,以具有可被接受的 沉積速率。欲能符合全部要求條件之解決方法係使用一種含有 包括用於低溫分解之弱N-N鍵和胺基矽貌官能性8丨的兩項關 鍵特徵之前驅物。 石夕炫•基叠氣化合物之具體實例係包括:三甲基碎院基叠 氮(市售商品級可獲自位於賓州Bristol之聯合化學技術公司( United Chemical Technologies)) '及參(二曱基胺基)石夕烧ι 基疊氮。矽烷基聯胺化合物之具體實例係包括:2,2-二曱基聯 胺二曱基矽烷〔((^3)231只!^>1((:113)2〕。 由於石夕源氣體和含II氣體係在基板製程反應室100中結 合,因此在加熱之基板1 22上係形成例如氮化矽材料或其組合 物薄膜(例如’ SixNy或ShN4 )等之含*夕材料。儘管氛係從含 • 13 - 1352744 矽氣體供應至反應區之内部容積中,含矽氣體係與含氮氣 合’因為對於矽和氮導入所沉積之含氮化矽薄 氧體尾 砰联〈谬混致率係 具有不同的動力學阻障(kinetic barrier )。所,·τ接_ — A '、 酤•曰1 所'儿積之含矽材料 顯不具有例如優良的折射率和濕式蝕刻速率等薄膜品質 積速率為大於5 A/min »在一具體實例中,含矽薄膜係以約I ^ A/min至約500人/min之速率沉積,且所沉積之厚度為約丨〇 〇 至約1,000 A。如上所述所形成的含矽薄臈顯示具有低含氫^ 且包含少量之可強化硼保持在PMOS (P通道金眉4主也 两取1干泽體)裝
置中的摻雜後。在使用不含鹵素之矽源氣體之具體實例中 口 實現改善濕式蝕刻速率。 藉由使用方法200所製得之化學計量氮化矽薄媒視溫 度和所選擇之R基而定,通常自始至终有可能仍含有約3〇重量 %以下之碳及約1〇重量%以上之氫。藉由使用方法2〇〇所沉積 之薄膜’若需要的話,可額外地施加適當的進一步後加工處理 ’以降低所沉積之含氮化矽薄膜之氫含量。氫自由基係可藉由 使用遙控式電漿源、熱線觸媒分解或其他適當的方法來產生。 在本文之具體實例中可使用之後加工處理係揭示在建檔於2〇〇3 年12月19日之美國發明專利第10/741,417號,及公告於美國 發明專利第2004-0194706號,其令之全部内容併入本文參考。 藉由使用方法2 00所沉積之薄膜的後加工係可視需要在本文中 所揭示之具體實例中實施。 在步驟206和/或208步驟中,可提供載氣(carrier gas )以控制氮氣和/或含梦源氣趙之分壓為數mTorr至數百Torr, 且控制在單晶圓反應室中之總壓為約1 Torr至約760 Torr »在 另一具體實例中,在製程反應室中之壓力為介於約1〇 Torr與 350 Ton·之間。在批式製程系統中,可供應載氣以控制矽源氣 體和/或含氮和碳氣體之分壓為約1〇〇 mTorr至約1 Torr壓力。 -14 - 1352744 此等之中’適當的載氣之實例係包括氮氣(n2) 、及氦氣(He )。 視需要可將氧前駆物添加到沉積方法2〇〇 步驟204和/或步騍2〇6中,以形成氮化矽或氡氮 於本文所揭示之沉積製程中之氧前驅物係包括: (〇2 ) '臭氧(〇3 )、水(h2〇 )、過氧化氫( 過氧化物、醇類、一氧化二氮(N20 )、一氧化 氧化氮(N02)、五氧化二氮(n2〇5 )、其衍生 °可預期的是载氣和/或氧前驅物之使用係可視 揭示之任何具體實例中實施。 在方法2 00之另一具體實例中,含矽氣體 二矽烷化合物或前驅物。胺基氣二矽烷化合物之 (K2N)xSiCl(3_x)SiCl(3.x)(NR2)x,其巾 X 是 1 或 2, 分別為甲基、乙基、丙基、異丙基、或其他烷基 梦氣趙是獨特的,因為其係含有一種具有Si_Si鍵 使其各易熱供應(thernial delivery) Si-N單元之 ’以超過4〇A/min之沉積速率及低活化能沉積一 Si-N )薄膜》 含石夕氣體例如胺基氣二矽烷類之前驅物等 為氮係同時供應,同時仍供應若干必要的氣,以 梯階覆蓋和最小的圖案依存性。在前驅物中之氣 傳統的氮化梦前驅物相比較,則其係可轉換成實 所欲之氣化銨微粒形成。 與烧基氣二夺烧前驅物相比較,含有胺基 物之含石夕氣體供應入所沉積氮化矽薄膜中之碳含 沉積之氮化石夕材料中之碳含量較高,部份係由於 合物之N-C鍵是比烷基氣二矽烷化合物之N_c鍵 、氬氣(Ar ) 中,典型為在 ,化矽。可使用 氧原子、氧氣 H2〇2)、有機 氣(NO)、二 物、或其之組 需要在本文所 疋一種胺基氣 化學式可為: 且各R係各自 。此類型之含 .係脆弱到足以 N-Si-Si-N 鍵 種含氮化矽( 是獨特的,因 產生具有優良 減少,與其他 質地減少非吾 氣二矽烷前驅 量較低。在所 胺基二矽烷化 係比院基氣二 -15 - 1352744 碎烧化合物之Si-C鍵較弱的結果。 為含矽氣體所實施的方 如上所述之製程反應室 法200係包括:在步驟 4〇〇°C至約650°C之範圍 一種使用胺基氣二矽烷化合物作 法200之兩個具體實例說明如下。在 100中所實施的第一具體實例中,該方 202中’將基板122加熱至溫度為在約 ,例如約 在另 具體實例中,基板則加熱 600°C以下之步驟 至約500°C以下。在步驟2〇4中,一 20 T 一種例如胺基氯二矽烷之矽 源氣體係以約0.2克/分鍺r /彳、 刀鐘C g/min)至約ΐ·〇克/分鐘之範圍内 的速率供應至製程反應官100。A a ^
~至。在另一實例中,矽源氣體係以 約 0.5克/分鐘的速率供庙β八&么十人▲ 供應”於0座與喷淋頭之間的間隔通常 是設定為,約50G mils (約12 7毫米)至約丨爛心(約μ 毫米)之範圍内。在㈣2〇6中,將例如氨氣之含氮氣體供應 至製程反應室丨〇〇,且與含矽氣體結合。含氮氣體係以約1〇倍 大於含梦氣體的速率供應。例如,氨氣對含咬氣體之比率為在 約1 · 1 00至約1 00:1之範圍。反應室之壓力通常是維持在約】〇 T〇rr至約300 Tern之範圍内,例如約5〇 τ〇ΓΓ至約1〇〇 τ〇ΓΓ。 在實施方法200時,氮化矽材料係可在約6〇 A/min至約2〇〇 A/min之範圍内,例如約1〇〇 A/min的速率加以沉積。 在適合於以批式爐沉積含氮化矽薄膜之方法200的第二 具體實例中,該方法200係包括:在步驟2〇2中,將基板122 加熱至溫度為在約4〇〇°c至約650。(:之範圍内,例如約600。(:以 下之步驟。在另一具體實例中’將基板1 22係加熱至約500。(; 以下。在步驟206中,將例如氨氣之含氮氣體供應至製程反應 室100,且與含矽氣體結合。含氮氣體係以約1 〇倍少於含矽氣 雜的速率供應’其通常是視爐管容積而定。例如,氨氣對含矽 氣體之比率可在約5:1至約1:1之範圍内。反應室之壓力通常 是維持在約10 Τ〇ΓΓ至約300 Torr之範圍内,例如約5〇 T〇rr至 -16- 1352744
20 A/min 之間,例如約 12 A/min » 時,氮化矽材料係可在約60 例如約100 A/min的速率加以 〇. 1至約2.0 Torr之範圍内, 間。實施方法200之本具體實 率通常為介於約5 A/min至約 在使用其他含矽氣體來實施方法2〇〇之其他實例中,一 種由 1, 3, 4, 5, 7, 8-於沉積含氮化矽薄膜。 六甲基四矽氬烷所組成的含矽氣體,可用 I 3,4,5,7,8 -六甲基四矽氮烷前驅物是 獨特的,因為其係在鏈中含有各矽原子並未完全地以曱基終端 之交替N-Si鍵,因此遺留活性Si_H鍵及可與si_H (例如,其 他兩個N是N-甲基)反應之鹼性n-H基。此結構可容許使其 各易熱供應Si-N早元,以超過40 A/min之快速沉積逮率及低活 化能用於沉積一種含氮化矽薄膜。 在上述具體實例和實例中,雖然針對含矽氣體係先導入 ’接著是含氮氣體之方式加以說明,但是應了解到對於某些應 用而言’含矽氣體和含氮氣體之導入順序也可加以逆轉。 I 3,4,5,7,8-六甲基四矽氮烷前驅物是一種矽氣烷化合 物。*夕氮烷類含有交替的N-Si鏈,且一般係用於經由高温熱分 解(例如’在超過I,000〇c之溫度)製造氮化矽陶瓷構件。矽氮 撰;類之熱分解在較低溫度下通常會遺留至少約20重量%之碳於 陶資*材料中(且典型為約50重量%於副產物中)。因此,矽氮 燒類在用於沉積SixCyNz薄膜的高溫熱分解或使用電漿增強之 兩者任一的CVD領域中已是眾所皆知者。 本發明之兩項獨特的特點是:選用1,3,4,5,7,8-六甲 基四妙氮烷作為含矽氣體、及添加入大量之氨氣。此組合結果 導致以高速率、但是低溫,例如約6001以下所沉積之薄膜具 -17 - 1352744 有低碳含量。切氮烧類中,化合物u 3, 4, 5, 7, 8六曱基四 矽氮烷是獨特的,因為各矽並未完全地以甲基加以終端,因此 遺留活性Si_H鍵及可與Si-H (例如,其他兩個N*N•甲基) 反應之鹼性N-H基。另外,與更普通的矽氮烷相比較,在此化 •.合物中之C對Si/N的比率較低。化合物!,3, 4, 5, 7, 六甲基 四矽氮烷是商品級可獲自賓州Brist〇l之聯合化學技術( Chemical Technologies)公司。 使用如上所述方法200所沉積之含氮化矽材料,由於數 種物理性質而廣泛地使用於電子零組件及裝置。含氮化矽材料 是一種電絕緣體及阻障材料。當含矽材料係配置介於例如閘極 材料與電極之間、或介於低介電常數之多孔性材料與銅之間時 ,阻障特性會抑制離子在不同的材料或元件之間擴散。因此’ 含氮化矽材料可使用於阻障層、保護層、偏置層、間隔物層和 上蓋層(capping layer )。氮化矽材料之其他物理性質是具有 高硬度。在某些應用t,含氮化矽材料可用作為各種光學裝置 及工具等之保護被覆。然而,含矽材料例如氮化矽之其他物理 性質,可用作為在氡化矽介電質層下之蝕刻停止層( • stoPPing丨”以),以精確地控制蝕刻深度,而並不會發生過度 姓刻或钱刻不足。此外,含氮化矽材料之物理性質是其中碳和 - 氨濃度可用於绸整薄膜應力,例如具有吾所欲應用之高抗拉應 力。 在某些具體實例中’如第3A、3B和4圖所示,氮化石夕 材料係可沉積如同在MOSFET (金屬氡半導體場效應電晶體) 和雙極性電晶體中之各層。例如,第3A圖係展示氮化矽材料 係沉積在一種含有凹入和提高兩者之源極/汲極之M〇SFET内部 。源極/沒極層312係藉由基板310之離子佈植法所形成。通常 基板310係經摻雜的n型,而源極/汲極層312係經摻雜的p型 1352744 材料》通常含有梦、發錯(SiG〇 K(SiCx) 、#錯碳( SiGexCy)、或其經摻雜的衍生物之含矽層3i3係藉由cvd法 選擇性地經磊晶生長在源極,汲極層312上、或直接生長在基板 310上-含梦層314也是藉由CVD法選擇性地經蟲晶生長在含 '矽層313上。閘極阻障層318係用以橋接被分段之含矽層313 •。通常閘極阻障層318可為由氧化矽、氧氮化矽、或氧化铪所 組成。局部地圍繞閘極阻障層318的是間隔物316,其係通常 為例如氯化物/氧化物/氮化物堆(suck )(例如,
Sl3N4/Si02/Si3N4 )等之隔離材料。另一可行方法是間隔物”6 可為氮化石夕材料之均質層,例如藉由在本文所揭示之各種方法 所沉積之氛化梦或氧氮化碎。閑極$ 322 (例如,彡晶梦)可 具有間隔物316和偏置層32〇位在任一側。偏置層32〇可為藉 由在本文所揭示之各種製程所沉積之乳化梦材料、或氧化石夕材 料所組成。
第3B圖係展示沉積在M〇SFET上之用於儀刻源極/沒極 層和閘極接觸介層洞(gate eGntaet via)之㈣停止詹324。勒 刻停止層324可為藉由在本文所揭示之各種製程所沉積之氮化 • 矽材料例如氮化矽所組成。前置-金屬介電質層(pre-metaI •心心心1^) & (例如,氧化妙)是沉積在触刻停止層 • 324丨,且包含在其上所形成的接觸孔介層洞(_tact _ vias) 328 ° 在另一具體實例中,帛4K係展示在本發明之各具體實 例中,所沉積之作為在雙極性電晶趙内之數層的氣化石夕材料。 含矽化合物層434係沉積在先前已沉積在基板43〇之η·型集極 層(collector layer) 432上。電晶體進一步又包括:隔離層 433 (例如,Si〇2、Si〇xNy、或 _4)、接觸層 436 (例如, 經大量摻雜之多晶矽)、偏置層438 (例如,以3^)、及第二 -19- 1352744 隔離層440 (例如,Si〇2、Si〇xNy4 Si3N4)。隔離層々μ 44 0、及偏置層438係可藉由在本文所揭示之各種製程各自八 沉積例如氧氮化矽、碳氮化矽、和/或氮化矽等作為氮化矽材 。在一具體實例中,隔離層433和440係含有氧氮化矽且 . 置層438係含有氮化矽。 • 如上所述,本發明已提供一種用於沉積例如氮化矽等 • 含矽層之方法。如上所述之方法係適合於製造具有小的臨界 寸(critical dimension )且要求低熱預算(由於使用沉積溫 為約65(TC以下)之裝置,其係可有利地使用次9〇奈米技術 • 製造穩固的電路。雖然前述具體實例係以使用溫度為65(rc 下為例加以說明,但是可預期的是對於本發明之某些應用而 ,基板及其電路對於高溫是具有彈性的。因此,如前所述具 實例當可發現在使用溫度為超過650°C之製程的應用。 雖然如前所述係用於引導本發明之具體實例,但是可 計本發明之其他及進一步的具體實例,而並不會脫離其基本 範圍’且該範圍係根據如下所述申請專利範圍加以設定。 0 【圖式簡單說明】 藉此方式則可詳細地理解到如上所例示本發明之特徵 _ 法,本發明之更特定的說明,如上所述者,將可藉由參考具 實例而獲得了帛,一部份係展示於附加之圖式中。然而,應 解到所附加之圖式係僅作為例示本發明之典型的具體實例, 並非視為限制其範圍’本發明係承認熟習此技藝者之其他等 的具體實例。 第1圖係供實施本發明氮化矽沉積方法的製程反應室 一具體實例概略剖面圖》 第2圖係沉積氣化矽薄骐之方法的一具體實例流程圖。 和 別 料 偏 之 尺 度 來 以 言 體 設 的 方 體 了 且 效 之 -20- 1352744 第3A圖係具有至少一部份係根據第2圖之方法所沉積的 氮化矽層之MOSFET電晶體剖面圖。 第3B圖係具有至少一部份係根據第2圊之方法所沉積的 氮化矽層之MOSFET電晶體剖面圖。 第4圖係典型的具有至少一部份係使用第2圖之方法所 沉積的氮化矽層之雙極性電晶體剖面圖。
-21 " 1352744
主要元件符號說明】 100 製程反應室 102 本體 104 内部容積 106 壁 108 底板 1 10 蓋 112 導液路 1 14 頂起銷 116 電源 118 頂起板 120 加熱器 122 基板 124 台座 126 泵送口 128 基板存取口 130 台座升降機 132 波紋管 134 進口 136 氣體控制面 138 泵送系統 140 氣體管線 142 泵送環 144 喷淋頭 146 控制器 148 記憶體 150 CPU (中央 組 板 處理單元) -22- 1352744 152 支援電路 154 穿孔區 200 方法 202 沉積步驟 204 加熱步驟 206 含氮氣體流入步驟 208 矽源氣體流入步驟 3 10 基板 3 12 源極/汲極層 3 13 含矽層 3 16 間隔物 3 18 閘極阻障層 320 偏置層 322 閘極層 324 蝕刻停止層 326 介電質層 328 介層洞 430 基板 432 η型層 433 隔離層 434 化合物層 436 接觸層 438 偏置層 440 隔離層 -23

Claims (1)

1352744 第申請專利範圍: 號專禪 公 月修碎 / ;· j 口 Ί
1. 一種沉積一含氮化碎層在一基板上之方法,其係包括下 列步驟: 將位在一製程反應室内之一基板加熱至一低於650 °c之溫 度; 將一含矽氣體流入該製程反應室,其中該含矽氣體是1,3, 4, 5, 7, 8-六曱基四矽氮烷; 將一含氮氣體流入該製程反應室;及 沉積一含氮化矽層在該基板上。
2.如申請專利範圍第1項之方法,其中該含氮氣體係氨氣 ,且該製程反應室係被加壓至介於約10 Torr至約350 Torr之 壓力範圍+内。 3.如申請專利範圍第1項之方法,其中該含氮氣體係氨氣 、聯胺、或氫疊氣中之至少一種。
4.如申請專利範圍第1項之方法,其中該含氮氣體係以氨 氣對含矽氣體之比例為在約1:1 〇〇至約1 〇〇: 1之範圍内所供應 之氨氣。 5.如申請專利範圍第1項之方法,其中該含氮氣體係以氨 氣對含矽氣體之比例為在約5 :1至約1:1之範圍内所供應之氨 氣。 6.如申請專利範圍第1項之方法,其中該基板之溫度係低 於 500°C。 -24 -
1352744 . 卞(f?修正替換頁 7.如申請專利範圍第6項之方法,其中該含氮氣體係以 氣對含矽氣體之比例為在約5 0:1至約1 0 0 :1之範圍内所供應 . 氨氣。 8.如申請專利範圍第6項之方法,其中該含氮氣體係以 氣對含矽氣體之比例為由約5 :1至約1:1之範圍内所供應之 氣。 9 ·如申請專利範圍第1項之方法,其中該基板之溫度係 約400°C至約500°C之範圍内。 10.如申請專利範圍第1項之方法,更包括將氧前驅物 入該製程反應室之步驟。 11.如申請專利範圍第10項之方法,其中該氧前驅物係 自由氧原子、氧氣、臭氧、水、過氧化氫、有機過氧化物、 類、一氧化二氮、一氧化氮、二氧化氮、五氧化二氮、其之 生物、及其之組合所組成之族群中。 氨 之 氨 氨 在 流 選 醇 衍 -25 -
TW095120849A 2005-06-14 2006-06-12 Method for silicon nitride chemical vapor depositi TWI352744B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/152,501 US7365029B2 (en) 2002-12-20 2005-06-14 Method for silicon nitride chemical vapor deposition

Publications (2)

Publication Number Publication Date
TW200708628A TW200708628A (en) 2007-03-01
TWI352744B true TWI352744B (en) 2011-11-21

Family

ID=37070270

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095120849A TWI352744B (en) 2005-06-14 2006-06-12 Method for silicon nitride chemical vapor depositi

Country Status (6)

Country Link
US (1) US7365029B2 (zh)
JP (1) JP2008547200A (zh)
KR (1) KR100943113B1 (zh)
CN (1) CN101228292B (zh)
TW (1) TWI352744B (zh)
WO (1) WO2006138131A1 (zh)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7352053B2 (en) * 2003-10-29 2008-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Insulating layer having decreased dielectric constant and increased hardness
DE10350752A1 (de) * 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US20060286819A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070042130A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Method of treating films using UV-generated active species
US7498270B2 (en) * 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US20070205507A1 (en) * 2006-03-01 2007-09-06 Hui-Lin Chang Carbon and nitrogen based cap materials for metal hard mask scheme
US20080096331A1 (en) * 2006-10-04 2008-04-24 Neng-Kuo Chen Method for fabricating high compressive stress film and strained-silicon transistors
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7807586B2 (en) * 2008-03-28 2010-10-05 Tokyo Electron Limited Method of forming a stressed passivation film using a non-ionizing electromagnetic radiation-assisted oxidation process
US8119540B2 (en) * 2008-03-28 2012-02-21 Tokyo Electron Limited Method of forming a stressed passivation film using a microwave-assisted oxidation process
US7704884B2 (en) * 2008-04-11 2010-04-27 Micron Technology, Inc. Semiconductor processing methods
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
KR101174884B1 (ko) * 2010-12-23 2012-08-17 삼성디스플레이 주식회사 플렉시블 유기 발광 표시 장치 및 그 제조방법
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
EP3929326A3 (en) * 2011-06-03 2022-03-16 Versum Materials US, LLC Compositions and processes for depositing carbon-doped silicon-containing films
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US20140273526A1 (en) 2013-03-12 2014-09-18 David Thompson Atomic Layer Deposition Of Films Comprising Si(C)N Using Hydrazine, Azide And/Or Silyl Amine Derivatives
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US10443127B2 (en) 2013-11-05 2019-10-15 Taiwan Semiconductor Manufacturing Company Limited System and method for supplying a precursor for an atomic layer deposition (ALD) process
US20150187563A1 (en) * 2013-12-26 2015-07-02 Applied Materials, Inc. Photo-assisted deposition of flowable films
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
JP2016157893A (ja) * 2015-02-26 2016-09-01 東京エレクトロン株式会社 カーボン膜の成膜方法および成膜装置
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
CN108140555B (zh) * 2015-10-22 2024-03-15 应用材料公司 沉积包含SiO及SiN的可流动薄膜的方法
US20190309416A1 (en) * 2016-09-28 2019-10-10 Dow Silicones Corporation Chlorodisilazanes
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
CN107342403B (zh) * 2017-06-09 2019-11-12 江永斌 含有氮化硅的纳米材料及其制备方法和用途
TWI722292B (zh) * 2017-07-05 2021-03-21 美商應用材料股份有限公司 氮含量高的氮化矽膜
JP6789257B2 (ja) * 2018-02-28 2020-11-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10668511B2 (en) * 2018-03-20 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of cleaning process chamber
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
WO2020081367A1 (en) 2018-10-19 2020-04-23 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11670551B2 (en) * 2019-09-26 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Interface trap charge density reduction

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4595775A (en) * 1984-04-06 1986-06-17 Petrarch Systems, Inc. N-methylhydridosilazanes, polymers thereof, methods of making same and silicon nitrides produced therefrom
JPS6251264A (ja) 1985-08-30 1987-03-05 Hitachi Ltd 薄膜トランジスタの製造方法
US4857140A (en) 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
US4992306A (en) 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
US4992299A (en) 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
DE69106884T2 (de) 1990-09-11 1995-07-06 The Procter & Gamble Co., Cincinnati, Ohio Verfahren zum erhalten hochgradig veresterter polyolfettsäurepolyester mit verringertem gehalt an di-höheralkylketonen und beta-ketoestern.
JPH04365379A (ja) 1991-06-13 1992-12-17 Fuji Electric Co Ltd 薄膜トランジスタの製造方法
US5273920A (en) 1992-09-02 1993-12-28 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the gate dielectric/semiconductor layer interface
US5380566A (en) 1993-06-21 1995-01-10 Applied Materials, Inc. Method of limiting sticking of body to susceptor in a deposition treatment
JP2641385B2 (ja) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
EP0653501B1 (en) 1993-11-11 1998-02-04 Nissin Electric Company, Limited Plasma-CVD method and apparatus
WO1995018460A1 (en) 1993-12-27 1995-07-06 Kabushiki Kaisha Toshiba Thin film formation method
JP3571404B2 (ja) 1995-03-03 2004-09-29 アネルバ株式会社 プラズマcvd装置及びその場クリーニング後処理方法
US5831283A (en) * 1995-11-30 1998-11-03 International Business Machines Corporation Passivation of copper with ammonia-free silicon nitride and application to TFT/LCD
US6083852A (en) 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
JP3320685B2 (ja) 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ 微細パターン形成方法
US6555183B2 (en) 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
JP3406250B2 (ja) 1999-08-30 2003-05-12 日本エー・エス・エム株式会社 窒化珪素系膜の成膜方法
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
JP3549188B2 (ja) 2000-03-27 2004-08-04 日本エー・エス・エム株式会社 半導体基板への薄膜成膜方法
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6468903B2 (en) 2000-11-15 2002-10-22 Asm International N.V. Pre-treatment of reactor parts for chemical vapor deposition reactors
US6583046B1 (en) 2001-07-13 2003-06-24 Advanced Micro Devices, Inc. Post-treatment of low-k dielectric for prevention of photoresist poisoning
AU2003303136A1 (en) * 2002-12-20 2004-07-14 Applied Materials, Inc. A method and apparatus for forming a high quality low temperature silicon nitride layer
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer

Also Published As

Publication number Publication date
KR20080018921A (ko) 2008-02-28
KR100943113B1 (ko) 2010-02-18
US7365029B2 (en) 2008-04-29
CN101228292B (zh) 2011-07-27
TW200708628A (en) 2007-03-01
CN101228292A (zh) 2008-07-23
US20050255714A1 (en) 2005-11-17
JP2008547200A (ja) 2008-12-25
WO2006138131A1 (en) 2006-12-28

Similar Documents

Publication Publication Date Title
TWI352744B (en) Method for silicon nitride chemical vapor depositi
TWI343952B (en) Method for silicon based dielectric chemical vapor deposition
TWI330391B (en) Method for producing gate stack sidewall spacers
TWI352393B (en) Method for fabricating silicon nitride spacer stru
CN1926668B (zh) 在高介电常数的介电材料上的硅的氮氧化物层的形成
US6713127B2 (en) Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
TWI595109B (zh) 在基板上處理氮化物薄膜的方法
KR101193628B1 (ko) 저온 실리콘 화합물 증착
US11139383B2 (en) Titanium aluminum and tantalum aluminum thin films
TWI250583B (en) Manufacturing method for semiconductor integrated circuit device
US20070077777A1 (en) Method of forming a silicon oxynitride film with tensile stress
KR20060054387A (ko) 증착 전 게르마늄 표면 처리 방법
TW200927981A (en) Preparation of metal-containing film via ALD or CVD processes
TW201816166A (zh) 具碳,氧及氮成分控制之沉積SiCON的方法
JP7164789B2 (ja) 550℃以上の温度でALDを使用してSi含有膜を堆積させるための前駆体及びプロセス
TWI246719B (en) Low temperature deposition of silicon nitride
JP2006190801A (ja) 成膜方法及び半導体装置の製造方法
KR20220087543A (ko) 저 저항률 금속 함유 필름들을 성장시키기 위한 방법들
KR20100121394A (ko) Ta- 또는 Nb-도핑된 고유전상수 막의 퇴적
JP2011517056A (ja) TaまたはNbドープhigh−kフィルムの堆積

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees