KR20080018921A - 실리콘 질화물 화학 기상 증착용 방법 - Google Patents

실리콘 질화물 화학 기상 증착용 방법 Download PDF

Info

Publication number
KR20080018921A
KR20080018921A KR1020077031043A KR20077031043A KR20080018921A KR 20080018921 A KR20080018921 A KR 20080018921A KR 1020077031043 A KR1020077031043 A KR 1020077031043A KR 20077031043 A KR20077031043 A KR 20077031043A KR 20080018921 A KR20080018921 A KR 20080018921A
Authority
KR
South Korea
Prior art keywords
silicon
containing layer
layer deposition
deposition method
compound
Prior art date
Application number
KR1020077031043A
Other languages
English (en)
Other versions
KR100943113B1 (ko
Inventor
알. 수르얀아라야난 이어
신 엠. 세우터
산지브 탄돈
에롤 안토니오 씨. 산체즈
슈린 왕
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080018921A publication Critical patent/KR20080018921A/ko
Application granted granted Critical
Publication of KR100943113B1 publication Critical patent/KR100943113B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명의 실시예는 일반적으로 기판 상에 실리콘 질화물 함유 층을 증착하는 방법을 제공한다. 상기 방법은 프로세싱 챔버 내에 배치되는 기판을 650℃ 미만의 온도로 가열하는 단계; 아미노디실란 화합물, 시릴아자이드 화합물, 시릴하이드라진 화합물, 알킬실라잔 화합물, 이의 유도체 및 이의 조합물로 이루어진 기로부터 선택되는 하나 이상의 화합물을 포함하는 실리콘 함유 가스를 상기 프로세싱 챔버 내측으로 유동시키는 단계; 질소 함유 가스를 상기 프로세싱 챔버 내측으로 유동시키는 단계; 및 실리콘 질화물 함유 층을 기판상에 증착시키는 단계를 포함한다. 일 실시예에서, 실리콘 함유 가스는 아미노디실란 화합물, 시릴아자이드 화합물, 시릴하이드라진 화합물 또는 알킬시라잔 화합물 중 어느 하나 이상을 포함한다. 일 실시예에서, 상기 아미노디실란 화합물의 화학식은 R2NSiR'2SIR'2NR2이며, 시릴아자이드 화합물의 화학식은 R3SiN3이며, 시릴하이드라진의 화학식은 R'3SiNRNR2이며, 여기서 R 및 R'은 수소, 할로겐, 알킬, 알켄, 알킨, 지방성 알킬, 환형 알킬, 방향족, 오가노실란, 알킬아미노로, 또는 질소 또는 실리콘을 독립적으로 포함한다.

Description

실리콘 질화물 화학 기상 증착용 방법 {METHOD FOR SILICON NITRIDE CHEMICAL VAPOR DEPOSITION}
본 발명의 실시예는 일반적으로, 실리콘 및 질화물을 포함하는 재료를 증착하기 위한 방법에 관한 것이며, 보다 특히 본 발명의 실시예는 실리콘 질화물 재료를 열적으로 증착하기 위한 화학 기상 증착 기술에 관한 것이다.
실리콘 질화물과 같은 실리콘 함유 필름의 열 화학 기상 증착(CVD)은 반도체 소wk 제조 중에 이용되는 최신식 전 프로세스(front end Process)이다. 예를 들어, 실리콘 질화물을 증착하기 위한 열 CVD 프로세스에서, 열 에너지는 기판 표면 상에 실리콘 질화물 박막을 형성하기 위해서 실리콘 전구체를 포함하는 하나 이상의 공급원료를 차단하기 위해서 이용된다. 통상의 열 CVD의 실리콘 질화물 함유 재료가 상승된 프로세싱 온도에서 작동하는 단일 웨이퍼 증착 챔버 내에서 또는 배치 로(batch furnace) 내에서 통상적으로 수행된다. 소자 기하학적 형상이 축소됨에 따라 소자 손상을 방지하고 만족스런 프로세싱 결과, 양호한 제조 수율 및 강한 소자 성능을 달성하기 위해서 증착되는 필름에 대한 열적 공급(thermal budget)이 보다 빠르게 집적 회로에 가능할 수 있게 하기 위해서 감소되어야만 한다. 650 ℃ 미만의 증착 온도를 가지는 실리콘 질화물 함유 재료를 위한 일부 CVD 프로세스가 제안되지만, 열만으로는(즉 어떠한 플라즈마 또는 광자 조력 프로세스가 없는) 반도체 소자 제조에서 큰 규모 이용에 적합한 제조 가치를 나타내지 않는다.
따라서, 실리콘 질화물 및 실리콘 산질화물과 같은 실리콘 질화물 재료를 약 650 ℃ 미만의 온도에서 증착하기 위한 방법이 필요하다.
본 발명의 실시예는 일반적으로 실리콘 및 질소 함유 필름을 증착하기 위한 방법을 제공한다. 일 실시예에서, 기판 상에 실리콘 질화물 함유 층을 증착하기 위한 방법은 프로세싱 챔버 내에 배치되는 기판을 약 650 ℃ 미만의 온도로 가열하는 단계, 질소 함유 가스를 프로세싱 챔버 내측으로 유동시키는 단계, 실리콘 함유 가스를 프로세싱 챔버 내측으로 유동시키는 단계, 및 실리콘 질화물 함유 가스를 기판 상에 배치시키는 단계를 포함한다. 일 실시예에서, 실리콘 함유 가스는 아미노실란 화합물, 시릴아자이드 화합물, 시릴하이드라진 화합물, 알킬실라잔 화합물, 이의 유도체 또는 이의 화합물들 중 하나 이상을 포함한다. 일 실시예에서, 아미노실란 화합물의 화학식은 R2NSiR'2SIR'2NR2이며, 시릴아자이드 화합물의 화학식은 R3SiN3이며, 시릴하이드라진의 화학식은 R'3SiNRNR2이며, 여기서 R 및 R'은 수소, 할로겐, 알킬, 알켄, 알킨, 지방성 알킬, 환형 알킬(cyclical alkyl), 방향족, 오가노실란, 알킬아미노, 질소 또는 실리콘을 포함하는 순환 기 또는 이의 유도체로 이루어진 그룹으로부터 각각 독립적으로 선택되는 하나 이상의 작용기를 포함한다. 다른 실시예에서, R 및 R'는 클로로, 메틸, 에틸, 프로필, 이소프로필, 트리메틸시릴, 피롤리딘, 이의 유도체, 또는 이의 조합물로 이루어진 그룹으로부터 각각 독립적으로 선택되는 하나 이상의 작용기를 더 포함한다. 다른 실시예에서, 알킬실라잔 화합물은 1,3,4,5,7,8-헥사메틸테트라실라잔이다.
본 발명의 전술된 특징들을 보다 잘 이해하기 위해서, 간단하게 전술한 본 발명을 몇몇의 예가 첨부 도면에 도시되어 있는 실시예를 참조하여 보다 구체적으로 설명한다. 그러나, 첨부 도면은 본 발명의 전형적인 실시예만을 설명하며 따라서 본 발명의 범위를 제한하는 것은 아니며, 본 발명이 다른 동일한 효과의 실시예를 허용할 수 있다는 것을 주목해야 한다.
도 1은 본 발명의 실리콘 질화물을 증착하는 방법이 수행될 수 있는 증착 챔버의 일 실시예의 간략화된 단면도이며,
도 2는 실리콘 질화물 필름을 증착하는 방법의 일 실시예의 흐름도이며,
도 3a 및 도 3b는 도 2의 방법에 따라 적어도 부분적으로 증착되는 실리콘 질화물 층을 가지는 MOSFET 트랜지스터의 단면도이며,
도 4는 도 2의 방법을 이용하여 적어도 부분적으로 증착되는 실리콘 질화물 층을 가지는 예시적 바이-폴라 트랜지스터(bi-polar transitor)의 단면을 도시한 도면이다.
이해를 용이하게 하기 위해서, 가능한 경우에, 공통의 참조 번호를 이용하여 도면의 동일 부품들을 나타냈다. 일 실시예의 일부 부품이 다른 실시예에서 유리하게 통합될 수 있다.
본 발명의 실시예는 실리콘 질화물 등과 같은 실리콘 함유 층을 약 650 ℃ 미만의 온도를 이용하여 기판 상에 증착시키는 방법을 제공한다. 본 발명이 도 1에 도시된 단일 웨이퍼 열 화학 기상 증착(프로세싱) 챔버(100)를 참조하여 기재되지만, 방법이 배치 증착 시스템을 포함하는 다른 증착 시스템에서 유리하게 실행될 수 있음이 숙고된다. 실리콘 질화물 증착 프로세스가 수행될 수 있는 하나의 프로세싱 챔버는 캘리포니아 산타 클라라에 소재하는 어플라이드 머티어리얼즈 아이엔씨(Applied Materials, Inc.)로부터 입수가능한 SINGEN® 또는 SINGEN Plus®이다. 본 발명을 실행하도록 이루어질 수 있는 다른 시스템의 실시예는 도쿄 일렉트론 리미티드(Tokyo Electron Limited)로부터 입수가능한 TELFORMULA® 배치 로, 어플라이드 머티어리얼즈, 아이엔씨로부터 입수가능한 FLEXSTAR® 미니-배치 실리콘 증착 시스템, 및 ASM 인터네셔널 N.V로부터의 EPSILON® 단일-웨이퍼 에피택셜 리액터(Single-Wafer Epitaxial Reactors)등을 포함한다.
열 CVD 이외에, 실리콘 질화물 재료를 증착시키기 위한 다른 유용한 프로세스는 펄스화된 CVD 및 원자 층 증착(ALD)을 포함한다. 펄스화된 CVD 프로세스 중 에, 실리콘 전구체와 같은 반응물 및 반응체가 동축유동(co flow)하거나 프로세싱 챔버 내측으로 펄스화된다. ALD 프로세스 중에 실리콘 전구체와 같은 반응물 및 반응체가 개별적으로 그리고 순차적으로 프로세싱 챔버 내측으로 펄스화된다. 플라즈마 강화 증착 기술은 ALD 또는 CVD 프로세스 중에 이용될 수 있다. 실리콘 질화물 함유 재료가 전술된 증착 프로세스 중에 단일 기판 또는 기판의 배치에 증착될 수 있다.
도 1의 실시예에서, 프로세싱 챔버(100)는 펌핑 시스템(138), 제어기(146), 및 가스 패널(136)에 연결되는 챔버 바디(102)를 포함한다. 챔버 바디(102)는벽(106), 바닥부(108), 및 리드(110)를 포함하며, 이는 내부 용적(104)을 규정한다. 바디(102)의 벽(106)은 열적으로 조절될 수 있다. 일 실시예에서, 복수의 도관(112)은 벽(106) 내에 배치되며 챔버 바디(102)의 온도를 조절하는 열 전달 유체를 순환시키기 위해서 구성된다. 벽(106)은 프로세싱 챔버(100)로부터, 기판(122)과 같은, 소재의 유입 및 배출을 유용하게 하게 위해서 구성되는 기판 액세스 포트(128)를 포함한다.
기판 지지 받침대(124)는 프로세싱 중에 기판(122)을 지지하고 챔버 바디(102)의 내부 용적 내에 배치된다. 기판 지지 받침대(124)는 프로세싱 챔버(100)의 내부 용적을 가열하고 그리고/또는 기판(122)의 온도를 조절하도록 구성되는 히터(120)를 포함한다. 도 1에 도시된 실시예에서, 히터(120)는 550℃ 이상의 온도에서 기판을 가열할 수 있으며 전력원(116)에 연결되는 저항성 가열 부재이다.
받침대 리프트 조립체(130)가 기판 지지 받침대(124)에 연결되며 (도 1에 도시된 바와 같은) 상승된 프로세싱 위치와 하강된 위치 사이의 받침대(124)의 높이를 제어하도록 구성되며, 이는 기판 액세스 포트(128)를 통해 받침대(124) 상에 배치되는 기판(122)에 접근를 용이하게 한다. 받침대 리프트 조립체(130)는 가요성 벨로우즈(132)에 의해 챔버 바디(102)의 바닥부(108)에 밀봉식으로 연결된다. 선택적으로, 받침대 리프트 조립체(130)는 프로세싱 중에 받침대(124)를 회전시키도록 구성될 수 있다.
가스 패널(136)은 챔버 바디의 내부 용적(124)에 프로세스 및 다른 가스를 제공하기 위해서 구성되며 프로세싱 챔버(100)에 연결된다. 도 1에 도시된 실시예에서, 가스 패널(136)은 챔버 바디(102)의 리드(110) 내에 형성되는 입구 포트(134)에 가스 라인(140)에 의해 연결된다. 입구 포트(134)가 챔버 바디(102)의 하나 이상의 다른 위치를 통해 형성될 수 있다.
샤워헤드(144)는 프로세싱 챔버(100)의 내부 용적 내측으로 가스 패널(136)에 의해 제공되는 균일한 가스 분포를 강화시키기 위해서 챔버 바디(102)에 연결된다. 샤워 헤드(144)는 천공된 영역(154)을 포함한다. 천공된 영역(154) 내에 형성되는 홀은 샤워헤드(144)를 통해 기판(122)을 통과하는 가스의 미리결정된 유동 분포를 제공하기 위해서 크기, 기학하적 형상, 수 및 분포에 맞게 구성된다.
(도 1에 도시된 하나의) 리프트 핀(114)이 액세스 포트(128)를 통해 챔버 바디에 유입되는 로봇(도시되지 않음)을 이용하여 기판 핸드 오프(hand off)를 용이하게 하기 위해서 기판 지지 받침대(124)의 상부 표면으로부터 기판(122)을 분리시 키도록 제공된다. 도 1에 도시된 실시예에서, 리프트 핀(118)은 기판 지지 받침대(124) 아래에 배치되며, 받침대(124)가 하향함에 따라서, 받침대(124)가 이의 하향 진행이 완성되기 전에 리프트 플레이트(118)와 접촉하게 되도록 배열된다. 리프트 플레이트(118)는 받침대(124)가 연속하여 하향으로 작동함에 따라 리트 핀(114)을 지지하여 리프트 핀(114)이 받침대의 상부 표면으로부터 연장하도록 야기한다. 리프트 플레이트(118)의 위치 및/또는 리프트 핀(114)의 길이는 기판(122)이 기판 지지 받침대(124)로부터 이격되며, 일반적으로 받침대(124)가 하강된 위치에 놓이는 경우에 액세스 포트(128)와 정렬되도록 구성된다.
펌핑 시스템(138)은 챔버 바디(102) 내에 형성되는 펌핑 포트(126)에 연결된다. 펌핑 시스템(138)은 일반적으로 프로세싱 챔버(100)의 내부 용적(104) 내의 압력을 제어하기 위해서 배열되는 하나 이상의 펌프 및 드로틀 밸브를 포함한다. 내부 용적(104)으로부터 펌핑 포트(126)로 유동하는 가스는 기판(122)의 표면에 걸쳐서 균일하게 가스 유동을 강화시키기 위해서 펌핑 링(142)을 통해 경로가 취해질 수 있다. 본 발명으로부터 유리하게 이루어질 수 있는 하나의 펌핑 링은 US 2005-0109276으로서 공개되고, 2004년 10월 4일 출원된 미국 특허 출원 10/911,208에 기재되며, 이는 본원에 전체가 참조된다.
제어기(146)가 하기에 기재되는 바와 같이 실리콘 질화물 증착 프로세스의 제어를 용이하게 하기 위해서 프로세싱 챔버(100)의 다양한 부품에 연결된다. 제어기(146)는 일반적으로, 중앙 프로세싱 유닛(CPU)(150), 메모리(148), 및 지지 회로(152)를 포함한다. CPU(150)는 여러 챔버 및 서브 프로세서(sub processors)를 제어하기 위해서 설정된 산업 분야에 이용될 수 있는 컴퓨터 프로세서의 임의의 형태 중 하나일 수 있다. 메모리(148), 또는 컴퓨터 해독형 매체는 랜덤 액세스 메모리(RAM), 판독형 메모리(ROM), 플로피 디스크, 하드 드라이브, 플래시 메모리, 또는 임의의 다른 형태의 디지털 스토리지(digital storage), 로컬(local) 또는 리모트(remote)와 같은 용이하게 입수가능한 메모리들 중 하나 이상일 수 있다. 지지 회로(152)는 통상의 방식으로 프로세서를 지지하기 위한 CPU(150)에 연결된다. 이러한 지지 회로(152)는 캐시, 전력 공급원, 시계 회로, 입력/출력 회로 및 서브시스템 등을 포함한다. 프로세스, 예를 들어, 하기에 기재되는 실리콘 함유 재료 증착 공정(200)은 통상적으로 소프트웨어 루틴으로서 메모리(148) 내에 저장된다.소프트웨어 루틴은 CPU(150)에 의해 제어되는 하드웨어로부터 원격으로 위치되며 제 2 CPU(도시되지 않음)에 의해 실행되며 그리고/또는 저장될 수 있다. 본 발명의 증착 프로세스가 소프트웨어 루틴으로서 실행되는 것으로서 기재되지만, 본 원 명세서에 기재된 일부 방법 단계는 하드웨어 및 소프트웨어 제어기에 의해서 수행될 수 있다. 이와 같이, 본 발명은 시스템 컴퓨터에서 실행되는 바와 같이 소프트웨어로 실행될 수 있으며, 응용 특정 집적 회로 또는 다른 형태의 하드웨어 실행으로서 하드웨어로 실행되거나, 소프트웨어 및 하드웨어의 조합으로 실행될 수 있다.
도 2는 실리콘 함유 재료 증착 공정(200)의 일 실시예를 도시하고 있으며, 이는 프로세싱 챔버(100), 또는 다른 적합한 장치에서 수행될 수 있다. 방법(200)은 기판 지지 받침대(124)상에 기판(122)을 위치시킴으로써 단계(202)로 시작한다. 본 발명의 실리콘 질화물 증착 공정의 실시예가 실행될 수 있는 기판(122)은 이에 제한되는 것은 아니지만, 결정질 실리콘(예를 들어, Si<100> 또는 Si<111>), 실리콘 산화물, 스트레인드 실리콘(strained silicon), SOI, 실리콘 게르마늄, 및 도핑되거나 도핑되지 않은 폴리실리콘과 같은 반도체 웨이퍼를 포함한다. 실리콘 질화물 층이 증착되는 기판 표면은 베어 실리콘(bare silicon), 유전체 재료, 전도체 재료, 배리어 재료 등일 수 있다. 선택적으로, 기판(122)은 폴리싱 프로세스, 식각 프로세스, 환원 프로세스, 산화 프로세스, 할로겐화 프로세스, 수산화 프로세스, 및/또는 어닐링 프로세스에 의해 실리콘 함유 재료의 증착 이전에 예비처리될 수 있다.
단계(204)에서, 기판(122)은 약 650℃ 미만의 온도로 가열된다. 일 실시예에서, 기판(122)은 약 400 내지 약 650 ℃ 사이의 온도로 기판(122)을 가열시키도록 전력원(116)으로부터 저항성 가열 부재(즉, 히터(120))에 전력을 인가함으로써 가열되며, 또 다른 실시예에서, 기판(122)은 약 600℃ 미만으로 가열된다.
단계(206)에서, 가스 패널(136)로부터 질소 함유 가스의 유동은 샤워헤드(144)를 통해 프로세싱 챔버(100)의 내부 용적에 제공된다. 질소 함유 가스는 일반적으로 가스 패널(136)로부터 입구(104)에 제공된다.
적합한 질소 함유 가스의 실시예는 이에 제한되는 것은 아니지만, 암모니아(NH3), 하이드라진(N2H4), 하이드로젠 아자이드(HN3), 이의 유도체 및 이의 조합물을 포함한다. 질소 함유 가스는 낮은 온도에서 질소 소오스 가스의 분해를 위해서 질소 질소 단일 결합(즉 N-N 단일 결합)을 이상적으로 포함한다. 부가적으로, 실 리콘 소오스 가스 및 질소 함유 가스가 프로세스 가스 혼합물로 이용되는 경우에, 일부 양의 질소 소오스 가스는 증착 중에 증착되는 층의 조성에 걸쳐서 부가적인 제어를 위한 프로세스 가스 혼합물에 이용된다. 일 실시예에서, 질소 함유 가스는 암모니아이다.
단계(208)에서, 실리콘 소오스 가스의 유동이 가스 패널(136)로부터 샤워헤드(144)를 통해 챔버 바디(102)의 내부 용적(104)에 제공된다. 가스 라인(140)이 가스 패널(136)과 입구 포트(134) 사이에 배치되는 것으로 도시되어 있지만, 실리콘 소오스 가스 및 질소 함유 가스가 별도의 가스 라인으로 프로세싱 챔버(100)에 제공되는 것을 숙고해야 한다. 또한, 가스 라인이 온도제어됨을 숙고해야 한다. 단계(208)에서 실리콘 소오스 가스와 함께 단계(206)에서 질소 함유 가스가 동시에 입구 포트(134)에 도입될 수 있으며, 또는 단계(206) 또는 단계(208)에서 도입될 수 있으며, 또는 단계(206)는 단계(208)이전에 실행되며 그 반대일 수 있다. 또한, 단계(206) 및 단계(208)은 화학 선량 시간(dosing time)이 아르곤과 같은 바람직한 불활성 가스와 각각의 단계 사이의 충분한 퍼지 및 원자 층 커버리지를 보장하도록 디자인도리 수 있는 방식으로 프로그램화될 수 있다.
낮은 온도에서 충분히 높은 증착률로 열 화학 기상 증착에 의해 실리콘 질화물 재료 또는 층을 생성시키는데 이용될 수 있는 실리콘 소오스 가스는 비스(테트르부틸아미노)실란 (BTBAS), 또는 헥사클로로디실란 (HCD 또는 Si2Cl6)과 같은 하나 이상의 Si-N 결합 또는 Si-Cl 결합을 가지는 화합물을 포함한다. 전구체 내에서 Si-Si 결합, N-N 결합, N=N 결합, Si-N 및 Si-Cl 결합의 혼합, 또는 이의 조합의 추가의 포함이 특정 실시예에서 유리할 수 있다.
Si-Cl 작용기 및 Si-N 작용기의 조합을 포함하는 화학 전구체가 증착 프로세스 중에 이용되는 경우에 특히, 적합한 증착률에서 온도를 줄곧 감소시키기 위해서 개선된 스텝 커버리지 및 마이크로로딩(microloading)을 가진다. Si-Cl 기의 수가 Si-N기의 수에 대해 변할 수 있다. Si-Cl 및 S-N 결합은 층 특성 및 증착 특성에 미치는 다른 효과를 가지는 것으로 나타나며, Si-N 대 S-Cl의 비율은 층 특성 및 증착 특성의 균형을 맞추기 위해서 이용될 수 있다.
일 실시예에서, 전술된 바와 같은 바람직한 결합 구조를 가지는 화합물은 공통 구조(generic structure)를 가질 수 있다.
(Ⅰ) R2NSiR'2SiR'2NR2, (아미노디실란 화합물)
(Ⅱ) R3SiN3, (시릴아자이드 화합물), 또는
(Ⅲ) R'3SiNRNR2 (시릴하이드라진 화합물)
전술된 공통 구조에서, R 및 R'은 수소기, 할로겐기, 알킬기, 알켄기, 알킨기, 지방족 알킬기, 환형 알킬기, 방향족기, 오가노실란기, 알킬아미노기, 질소 또는 실리콘을 포함하는 순환기, 이의 유도체, 또는 이의 조합물을 포함하는 그룹으로부터 선택되는 하나 이상의 작용기를 포함한다.
적합한 작용기의 실시예는 클로로, 메틸, 에틸 프로필, 이소프로필, 트리메틸시릴, 피롤리딘, 이의 유도체, 또는 이의 조합물을 포함한다. 적합한 화합물의 실시예는: 1,2-디에틸-테트라키스(디에틸아미노) 디실란 ((CH2CH3((CH3CH2)2N)2Si)2), 1-2-디클로로-테트라키스ㅡ(디에틸아미노) 디실란 ((Cl((CH3CH2)2N)2Si)2), 헥사키스(N-피롤리디니노) 디실란 (((C4H9N)3)Si)2), 1,1,2,2-테트라클로로-비스(디-트리메틸아미노) 디실란 ((Cl2((CH3)3Si)2N)Si)2), 1,1,2,2-테트라클로로-비스(디-이소프로필) 디실란 ((Cl2((C3H7)2N)Si)2), 1,2-디메틸-테트라키스(디에틸아미노) 디실란 ((CH3(CH3CH2N)2Si)2), 트리메틸시릴아자이드 ((CH3)3SiN3), 트리스(디메틸아미노)실란 아자이드 (((CH3)2N)3SiN3), 2,2-디메틸하이드라진 디메틸실란 ((CH3)2(H)Si)(H)NN(CH3)2), 1,3,4,5,7,8-헥사메킬테트라실라잔, 이의 유도체, 또는 이의 조합물을 포함한다.
실리콘 단일 결합(즉, Si-Si 단일 결합)에 실리콘을 가지는 실리콘 소오스 가스(전구체) 또는 실리콘 및 질소 소오스 가스(전구체)는 분자를 약 550℃ 또는 그 미만과 같은 감소된 온도에서 분해 또는 분리시킬 수 있다.
적합한 실리콘 소오스 가스의 다른 실시예는 시릴 아자이드 R3-SiN3와 시릴 하이드라진 종류의 전구체 R3SiNR-NR2, 임의의 조합을 가지는 선형 및 환상형 R 기를 포함한다. R기는 메틸, 에틸, 프로필, 부틸 등(예를 들어, 알킬, 알켄, 또는 알킨)과 같은 유기 작용기 또는 수소기일 수 있다. 실리콘에 부착되는 R기는 선택적으로 다른 아미노기 NH2 또는 NR2일 수 있다. 이러한 실리콘 소오스 가스를 이용 하는 하나의 이점은 실리콘 및 질소가, 다른 대표(conventions) Si-N 필름 전구체에 바람직하지 않은 암모늄 염화물 입자 형성 문제 없이 양호한 스텝커버리지 및 최소의 패턴 의존(소위 패턴 로딩)을 갖는 필름을 산출하기 위해서 염소의 존재를 방지하면서 동시에 전달되는 것이다.
하이드로젠 아자이드, 하이드라진 및 메틸 하이드라진 내에 존재하는 것을 주목해야하며 그리고 실리콘 질화물 함유 필름의 CVD 증착이 수행된다. 그러나, 별도의 실리콘 소오스의 첨가는 이러한 후자의 전구체들을 위해 필요하며, 디실란과 같은 저온 실리콘 소오스는 헥사클로로디실란(HCD)이 암모늄 클로라이드 입자 문제가 발생하면서 양호하지 않은 스텝 커버리지 및 높은 패턴 로딩을 보인다. BTBAS와 같은 아미노실란이 최소의 패턴 로딩을 보이고 암모늄 클로라이드 관계 없이 양호한 스텝 커버리지가 관여함을 주지하면, 완전한(intact) Si-N 유닛의 전달이 필요한 것으로 여겨진다. 그러나, 아미노실란은 일반적으로 수용가능한 증착률을 가지도록 600℃를 초과하는 프로세싱 온도를 필요로한다. 모든 요구조건을 충족시키기 위한 해결책은 저온 분해를 위해서 중요한 특징- 약한 N-N 결합 및 아미노실란 작용성 Si-N를 모두 포함하는 전구체를 이용하는 것이다.
특정 시릴아자이드 화합물은 트리메틸시릴아자이드(펜실베니아, 브리스톨에 소재하는 유나이티드 케미컬 테크놀로지(United Chemical Technologies)로부터 상용으로 입수가능함) 및 트리(디메틸아미노) 시릴아자이드를 포함한다. 시릴아자인 화합물은 2,2-디메틸하이드라진 디메틸실란((CH3)2SIHNHN(CH3)2)를 포함한다.
실리콘 소오스 가스 및 질소 함유 가스가 기판 프로세싱 챔버(100) 내에서 결합되기 때문에, 실리콘 질화물 재료 또는 필름(예를 들어, SixNy 또는 Si3N4)과 같은 실리콘 함유 재료가 가열된 기판(122) 상에 형성된다. 실리콘 함유 가스로부터 내부 영역 내의 반응 존으로의 질소의 전달에도, 실리콘 함유 가스는 질소 함유 가스와 혼합되며, 이는 증착되는 실리콘 질화물 함유 필름 내측으로 실리콘 및 질소의 혼입 효율(incorporation)이 여러 운동 배리어를 가지기 때문이다. 증착되는 실리콘 함유 재료는 굴절률 및 습식 식각률, 및 5Å/분 보다 큰 증착률과 같은 양호한 필름 질을 나타낸다. 일 실시예에서, 실리콘 함유 필름이 약 10Å/분 내지 약 500Å/분의 비율로 증착되며, 약 10Å 내지 약 1000Å의 두께로 증착된다. 전술된 바와 같이 형성되는 실리콘 함유 필름은 낮은 수소 함량을 나타내며, 소량의 탄소 도핑을 포함하며, 이는 PMOS 소자 내의 붕소 유지를 강화시킨다. 할로겐-프리 실리콘 소오스 가스가 이용되는 일 실시예에서, 개선된 습식 식각률이 실현될 수 있다.
방법(200)을 이용하여 형성된 화학양론적 실리콘 질화물 필름은 약 30 중량% 미만의 탄소에서 일반적으로 R 기의 선택범위(choice) 및 온도에 따라 달라지는 잠재적으로 약 10 중량%보다 훨씬 많은 수소를 포함한다. 방법(200)에 의해 증착되는 필름은 원하는 경우에, 보다 낮은 퍼센트의 수소에 추가의 포스트-프로세싱 처리에 부가적으로 적합하다. 예를 들어, 수소 라디칼이 증착되는 실리콘 질화물 함유 필름의 수소 함량을 감소시키는데 이용되는 챔버 내측으로 도입될 수 있다. 수 소 라디칼이 리모트 플라즈마 소오스, 고온 와이어 촉매 분해(hot wire catalytic decomposition) 또는 다른 적합한 방법을 이용하여 생성될 수 있다. 실시예 중에 이용될 수 있는 하나의 프로세싱 처리는 본원에 전체가 참조되며, US 2004-0194706로서 공개되며 본 출원인에게 양도된 2003년 12월 19일 출원된 U.S. 제 10/741,417 호에 기재되어 있다. 방법(200)을 이용하여 증착되는 필름의 포스트-프로세싱은 본 명세서에 기재된 임의의 실시예로 선택적으로 수행될 수 있다
캐리어 가스가 단계(206) 및/또는 단계(208)에 제공될 수 있어서 질소 가스 및/또는 실리콘 소오스 함유 가스의 부분 압력을 단일 웨이퍼 챔버 내에서, 수 mTorr에서 수백 Torr로 제어하며, 총 프로세스 압력을 약 1 Torr 에서 약 760 Torr로 제어한다. 다른 실시예에서, 프로세싱 챔버 내의 압력은 약 10 Torr 및 350 Torr이다. 캐리어 가스가 제공될 수 있어서 배치 프로세싱 시스템 내에서 실리콘 소오스 가스 및/또는 질소 및 탄소 함유 가스의 압력을 약 100 mTorr에서 1 Torr로 제어한다. 적합한 캐리어 가스의 실시예는 질소(N2), 아르곤, 및 헬륨등을 포함한다.
선택적으로, 산소 전구체가 증착 방법(200)에 첨가될 수 있으며, 통상적으로 단계(204) 및/또는 단계(206)에서 실리콘 산화물 또는 실리콘 산질화물을 형성한다. 본 명세서에 기재된 증착 프로세스에 이용될 수 있는 산소 전구체는 산소 원자, 산소(O2), 오존(O3), 물(H20), 수소 퍼옥사이드(H2O2), 오가닉 퍼옥사이드, 알코올, 아산화 질소(N2O), 질소 산화물(NO), 질소 이산화물(NO2), 디니트로젠 펜트옥사 이드(N2O5), 이의 유도체, 또는 이의 조합물을 포함한다. 캐리어 가스 및/또는 산소 전구체의 이용은 전술된 임의의 실시예로 선택적으로 수행될 수 있음을 숙고해야 한다.
방법(200)의 다른 실시예에서, 실리콘 함유 가스가 아미노클로로디실란 화합물 또는 전구체이다. 아미노클로로디실란 화합물은 화학식(R2N)xSiC(3-x)SiCl(3-x)(NR2)x이며, 여기서 x는 1 또는 2 이며, 각각 R은 메틸, 에틸, 프로필, 이소프로필, 또는 다른 알킬기이다. 이러한 형태의 실리콘 함유 가스는 40 Å/분을 초과하는 증착률 및 낮은 활성 에너지를 갖는 Si-N 함유 필름의 증착을 위한 Si-N 유닛의 손쉬운 열 전달을 허용하기에 충분히 약한 Si-Si 결합을 갖는 N-Si-Si-N 사슬을 포함한다는 점에서 유일하다.
아미노클로로디실란 종류의 전구체와 같은 실리콘 함유 가스는 질소가 양호한 스텝 커버리지 및 최소의 패턴 의존도를 가지는 필름을 산출하기 위해서 여전히 약간의 필수 염소를 제공하면서 동시에 전달된다는 점에서 유일하다. 전구체 내의 감소한 염소는 다른 통상의 실리콘 질화물 전구체에 비해 바람직하지 않은 염화물 입자 형성의 실질적인 감소를 나타낸다.
아미노클로로디실란 전구체를 포함하는 실리콘 함유 가스는 알킬클로로디실란 전구체에 비해 증착되는 실리콘 질화물 필름으로 보다 낮은 함량의 탄소를 전달한다. 증착되는 실리콘 질화물 재료 내의 보다 높은 탄소 농도는 부분적으로 아미노클로로디실란 화합물의 N-C 결합이 알킬클로로디실란 화합물의 Si-C 결합보다 약 하기 때문이다.
실리콘 함유 가스로서 아미노클로로디실란 화합물을 이용하여 수행되는 방법(200)의 두 가지 실시예가 하기에 기재된다. 전술된 프로세싱 챔버(100) 내에서 수행되는 제 1 실시예에서, 방법(200)은 약 400℃ 내지 약 650 ℃의 범위, 예를 들어, 약 600℃ 미만의 온도에서 단계(202)로 기판(122)을 가열하는 단계를 포함한다. 다른 실시예에서, 기판은 약 500℃ 미만으로 가열된다. 실리콘 소오스 가스, 예를 들어, 아미노클로로디실란은 약 0.2 그램/분(g/min) 내지 1.0 그램/분의 범위 내에서의 비율로 단계(204)에서 프로세싱 챔버(100)에 제공된다. 다른 실시에에서, 실리콘 소오스 가스가 약 0.5 그램/분의 비율로 제공된다. 받침대와 샤워헤드 사이의 간격은 일반적으로 약 500밀(약 12.7 mm) 내지 약 1000 밀(약 25.4 mm)의 범위 내에서의 거리로 설정된다. 질소 함유 가스, 예를 들어, 암모니아는 단계(206)에서 프로세싱 챔버(100)에 제공되며, 실리콘 함유 가스와 결합한다. 질소 함유 가스는 실리콘 함유 가스의 비율보다 큰 약 10배의 비율로 전달된다. 예를 들어, 암모니아 대 실리콘 함유 가스의 비율은 약 1:100 내지 약 100:1의 범위를 가진다. 챔버 압력은 일반적으로 약 10 Torr 내지 약 300 Torr, 예를 들어 약 50 Torr 내지 약 100 Torr의 범위 내에서 유지된다. 방법(200) 중에, 실리콘 질화물 재료가 약 60 Å/분 내지 약 200Å/분의 범위 내의 비율, 예를 들어 약 100 Å/분에서 증착될 수 있다.
배치로 내에서 실리콘 질화물 함유 필름을 증착하기에 적합한 방법(200)의 제 2 실시예에서, 방법(200)은 약 400℃ 내지 약 650 ℃의 범위 내에서, 예를 들 어, 약 600℃ 미만의 온도로 단계(202)에서 기판(122)을 가열하는 단계를 포함한다. 다른 실시예에서, 기판(122)은 약 500℃ 미만에서 가열된다. 암모니아와 같은 질소 함유 가스는 단계(206)에서 프로세싱 챔버(100)에 제공되며, 실리콘 함유 가스와 결합한다. 질소 함유 가스가 실리콘 함유 가스의 비율보다 약 10배 적은 비율로 전달되며, 이는 노 관 부피(furnace tube volume)에 따라 달라진다. 예를 들어, 암모니아 대 실리콘 함유 가스 비율은 약 5:1 내지 약 1:1의 범위 내일 수 있다. 노 압력은 일반적으로 약 0.1 Torr 내지 약 2.0 Torr의 범위 내에서, 예를 들어, 약 0.4 내지 약 1.0 Torr로 유지된다. 방법(200)의 이러한 실시예의 수행은 일반적으로 약 5Å/분 내지 약 20Å/분, 예를 들어 약 12Å/분의 실리콘 질화물 증착률을 야기한다.
방법(200)을 수행하기 위해서 다른 실리콘 함유 가스를 이용하는 다른 실시예에서, 1,3,4,5,7,8-헥사메틸테트라실라잔으로 이루어진 실리콘 함유 가스는 실리콘 질화물 필름을 증착하기 위해서 이용될 수 있다. 1,3,4,5,7,8-헥사메틸테트라실라잔 전구체는 메틸기와 완전히 종결되지 않는 각각의 실리콘 원자를 갖는 사슬 내에 대체 N-Si 결합을 포함하여 Si-H와 반응할 수 있는 베이직(basic) N-H기 및 활성 Si-H 결합을 남긴다는 점에서 유일하다. 이러한 구조는 낮은 활성 에너지로 약 40 Å/분을 초과하는 빠른 증착률을 갖는 실리콘 질화물 함유 필름의 증착을 위한 Si-N 유닛의 손쉬운 열 전달을 허용한다.
전술된 실시예 및 예에 있어서, 실리콘 함유 가스가 N-함유 가스에 의해 수반되어 우선 도입되는 것으로 기재되며, 이는 실리콘 함유 가스 및 N 함유 가스의 도입 순서가 특정 응용예를 위해 역행될 수 있음을 인식해야 한다.
1,3,4,5,7,8-헥사메틸테트라실라잔 전구체가 실라잔 화합물이다. 실라잔은 대체 N-Si 사슬을 포함하며, (예를 들어 1000℃를 초과하는 온도의) 높은 열 분해를 통한 실리콘 질화물 세라믹 성분의 제조에 공통으로 이용된다. 보다 낮은 온도에서 실라잔의 열 분해는 적어도 약 20 중량%의 탄소를 세라믹 재료 내에 남기며(통상적으로 약 50% 중량%의 부산물을 남긴다). 따라서, 실라잔은 열적으로 높은 온도에서 또는 플라즈마 강화를 이용하여 SixCyNz의 증착을 위해 CVD 계에 보다 잘 공지되어 있다.
본 발명의 두 개의 독특한 양상은 실리콘 함유 가스로서의 1,3,4,5,7,8-헥사메틸테트라실라잔의 선택과 대량의 암모니아 첨가이다. 이러한 결합은 낮은 온도, 예를 들어 약 600℃ 미만의 온도에도 높은 비율로 증착되는 필름을 위한 낮은 탄소 비율을 야기한다. 화합물 1,3,4,5,7,8-헥사메틸테트라실라잔은 각각의 실리콘이 메틸기와 완전히 종결되지 않고 Si-H와 반응할 수 있는 두 개의 베이직(basic) 질소 N-H(다른 두 개의 N- 은 N-메틸) 및 활성 Si-H 결합을 남긴다는 점에서 실라잔 종류에서 유일하다. 또한, 보다 낮은 C에서 Si/N 비율은 보다 공통의 실라잔에 비해 이러한 화합물에 존재한다. 화합물 1,3,4,5,7,8-헥사메틸테트라실라잔은 펜실베니아 브리스톨에 소재하는 유나이티드 케미컬 테크놀로지로부터 상용으로 입수가능하다.
전술된 방법(200)을 이용하여 증착되는 실리콘 질화물 함유 재료는 몇몇 물 리적 특성에 기인하는 전기적 피쳐/소자에 걸쳐서 이용된다. 실리콘 질화물 함유 재료는 절연체 및 배리어 재료이다. 배리어 특성은 실리콘 함유 재료가 게이트 재료 및 전극과 같은 이들 사이 또는 낮은 유전체 상수 다공성 재료와 구리 사이에 위치되는 경우에 다른 재료 또는 부품들 사이의 이온 확산을 억제한다. 따라서, 실리콘 질화물 함규 재료가 배리어 층, 보호 층, 오프-셋 층(off-set layer), 스페이서 층(spacer layer) 및 캐핑 층(capping layer)에 이용될 수 있다. 실리콘 질화물 재료의 다른 물리적 특징은 고도의 경도이다. 몇몇 응용예에서, 실리콘 질화물 함유 재료가 다양한 광 소자 및 툴(tool)을 위해 보호 코팅으로서 이용될 수 있다. 실리콘 질화물과 같은 실리콘 함유 재료의 또 다른 물리적 특성은 실리콘 산화물에 대한 식각 선택성이며, 즉 실리콘 질화물은 과도한 식각 없이 또는 식각 하에서 정확하게 식각 깊이를 제어하기 위해서 실리콘 산화물 유전체 층 아래에 식각 정치 층으로서 이용될 수 있다. 실리콘 질화물 함유 재료의 또 다른 물리적 특성은 탄소 및 수소를 포함하며, 탄소 및 수소 농도는 바람직한 응용예를 위해서 높은 인장 응력과 같이 필름 응력을 조정하는데 이용될 수 있다.
몇몇 실시예에서, 실리콘 질화물 재료가 도 3a 내지 도 3b에 도시된 바와 같이 바이폴라 트랜지스터 및 MOSFET 내에서 여러 층으로서 증착될 수 있다. 예를 들어, 도 3a는 홈이 형성되고 상승된 소오스/드레인(drain)을 모두 포함하는 MOSFET 내에서 증착되는 실리콘 질화물 재료를 나타내고 있다. 소오스/드레인 층(312)이 기판(310)의 이온 주입에 의해 형성된다. 일반적으로, 기판(310)은 소오스/드레인 층(312)이 p-타입 재료 도핑되면서 n-타입 도입된다. 일반적으로 실 리콘, 실리콘 게르마늄(SiGex), 실리콘 탄소(SiCx), 실리콘 게르마늄 탄소(SiGexCy), 또는 이의 도핑된 유도체를 포함하는 실리콘 함유 층(313)은 CVD 방법에 의해 기판(310)에 직접 또는 소오스/드레인 층(312) 상에 선택적으로 그리고 엑피택셜 성장(epitaxially grown)한다. 실리콘 함유 층(314)은 또한, CVD 방법에 의해 실리콘 함유 층 상에 선택적으로 그리고 엑피택셜 성장한다. 게이트 배리어 층(318)은 구획형성된 실리콘 함유 층(313)을 브리징(bridge)시킨다. 일반적으로, 게이트 배리어 층(318)은 실리콘 산화물, 실리콘산질화물 또는 하프늄 산화물로 이루어질 수 있다. 스페이서(316)는 게이트 배리어 층(318)을 부분적으로 에워싸며, 이는 보통 질화물/산화물/질화물 스택(예를 들어, Si3N4/SiO2/Si3N4)과 같은 절연 재료이다. 이와 달리, 스페이서(316)는 전술된 여러 방법에 의해 증착되는 실리콘 산질화물 또는 실리콘 질화물과 같은 실리콘 질화물 층의 균질 층일 수 있다. 게이트 층(322)(예를 들어, 폴리실리콘)은 어느 한 쪽의 측면상에 배치되는 스페이서(316) 및 오프 셋 층(320)일 수 있다. 오프 셋 층(320)은 본 명세서에 기재된 여러 프로세스에 의해 증착되는 실리콘 질화물 재료, 또는 실리콘 산화물 재료로 이루어질 수 있다.
도 3b는 MOSFET 위에서 식각 증착되는 게이트 컨택 비아 및 소오스 드레인을 위한 식각 정지 층(324)를 나타낸다. 식각 정치 층(324)은 본 명세서에 기재된 여러 방법에 의해 증착되는 실리콘 질화물과 같은 실리콘 질화물 재료로 이루어진다. 프리 금속 유전체 층(pre metal dielectric layer; 326)(예를 들어 실리콘 산화물) 은 그 상부에 형성된 컨택 홀 비아(328)을 포함하며 식각 정치 층(324) 상에 증착된다.
다른 실시예에서, 도 4는 본 발명의 여러 실시예 중에, 바이폴라 트랜지스터 내에서 몇몇 층으로 증착되는 실리콘 질화물 재료를 도시하고 있다. 실리콘 질화물 화합물 층(434)은 기판(430) 상에 이전에 증착되는 n-타입 콜렉터 층(collector layer; 432) 상에 증착된다. 트랜지스터는 또한 절연 층(433, 예를 들어 SiO2, SiOxNy, 또는 Si3N4), 컨택 층(436) (예를 들어, 대량 도핑된 폴리-Si), 오프 셋 층(438)(예를 들어, Si3N4), 및 제 2 절연 층(440)(예를 들어, SiO2, SiOxNy 또는 Si3N4)를 더 포함한다. 절연 층(433, 440) 및 오프 셋 층(438)은 본 명세서에 기재된 여러 프로세스에 의해 증착되는 실리콘 산질화물, 실리콘 탄소 질화물, 및/또는 실리콘 질화물과 같은 실리콘 질화물 재료로서 독립적으로 증착될 수 있다. 일 실시예에서, 절연 층(433,440)은 실리콘 산질화물을 포함하며 오프 셋 층(338)은 실리콘 질화물을 포함한다.
따라서, 실리콘 질화물과 같은 실리콘 함유 층을 증착하기 위한 방법이 제공된다. 전술된 방법은 약 650℃ 미만의 증착 온도의 이용으로 인한 낮은 열 부담(thermal budgets)을 요구하는 작은 임계 치수를 가지는 소자 제조에 적합할 수 있으며, 이는 서브(sub) 90 nm를 이용하는 강한 회로 제조를 용이하게 하는 것이 유리하다. 전술된 실시예가 650 ℃ 미만의 온도를 이용하여 기재되었지만, 본 발명의 일부 응용예에 있어서, 기판 및 이의 회로가 보다 높은 온도에서 회 복(resilient)됨을 숙고해야 한다. 이와 같이, 전술된 실시예는 650℃를 초과하는 온도를 이용하는 프로세스에서의 응용예를 발견할 수 있다.
전술된 내용이 본 발명의 실시예에 지향되면서 본 발명의 또 다른 실시예가 본 발명의 기본 범위를 벗어나지 않고 고안될 수 있으며, 본 발명의 범위는 하기의 청구범위에 의해서 결정된다.

Claims (23)

  1. 실리콘 질화물 함유 층을 기판 상에 증착하기 위한 방법으로서,
    프로세싱 챔버 내에 배치되는 기판을 650℃ 미만의 온도로 가열하는 단계;
    아미노디실란 화합물, 시릴아자이드 화합물, 시릴하이드라진 화합물, 알킬실라잔 화합물, 이의 유도체 및 이의 조합물로 이루어진 그룹으로부터 선택되는 하나 이상의 화합물을 포함하는 실리콘 함유 가스를 상기 프로세싱 챔버 내측으로 유동시키는 단계;
    질소 함유 가스를 상기 프로세싱 챔버 내측으로 유동시키는 단계; 및
    실리콘 질화물 함유 층을 기판상에 증착시키는 단계를 포함하는
    실리콘 질화물 함유 층 증착 방법
  2. 제 1 항에 있어서,
    상기 아미노디실란 화합물이 화학식은 R2NSiR'2SIR'2NR2이며, 상기 시릴아자이드 화합물의 화학식은 R3SiN3이며, 상기 시릴하이드라진의 화학식은 R'3SiNRNR2이며, 여기서 R 및 R'은 수소, 할로겐, 알킬, 알켄, 알킨, 지방성 알킬, 환형 알킬(cyclical alkyl), 방향족, 오가노실란, 알킬아미노, 질소 또는 실리콘을 포함하는 순환기, 이의 유도체 및 이의 조합물로 이루어진 그룹으로부터 각각 독립적으로 선택되는 하나 이상의 작용기를 포함하는
    실리콘 질화물 함유 층 증착 방법.
  3. 제 1 항에 있어서,
    R 및 R'는 클로로, 메틸, 에틸, 프로필, 이소프로필, 트리메틸시릴, 피롤리딘, 이의 유도체, 및 이의 조합물로 이루어진 그룹으로부터 각각 독립적으로 선택되는 하나 이상의 작용기를 더 포함하는
    실리콘 질화물 함유 층 증착 방법.
  4. 제 1 항에 있어서,
    상기 알킬실라잔 화합물은 1,3,4,5,7,8-헥사메틸테트라실라잔인
    실리콘 질화물 함유 층 증착 방법.
  5. 제 1 항에 있어서,
    상기 실리콘 함유 가스는 1,2-디에틸-테트라키스(디에틸아미노) 디실란, 1-2-디클로로-테트라키스ㅡ(디에틸아미노) 디실란, 헥사키스(N-피롤리디니노) 디실란, 1,1,2,2-테트라클로로-비스(디-트리메틸아미노) 디실란, 1,1,2,2-테트라클로로 -비스(디-이소프로필) 디실란, 1,2-디메틸-테트라키스(디에틸아미노) 디실란, 트리스(디메틸아미노)실란 아자이드, 트리스(디메틸아미노)실란 아자이드, 2,2-디메틸하이드라진 디메틸실란, 이의 유도체, 또는 이의 조합물을 포함하는
    실리콘 산화물 함유 층 증착 방법.
  6. 제 2 항에 있어서,
    상기 시랄아자이드 화합물의 R기는 각각 독립적으로 수소기, 유기 작용기, 아미노기, 이의 유도체 또는 이의 조합물인
    실리콘 산화물 함유 층 증착 방법.
  7. 제 6 항에 있어서,
    상기 유기 작용기는 메틸, 에틸, 프로필, 부틸, 이의 유도체, 또는 이의 조합물인
    실리콘 산화물 함유 층 증착 방법.
  8. 제 1 항에 있어서,
    상기 실리콘 함유 가스는 시릴하이드라진 화합물을 더 포함하는
    실리콘 산화물 함유 층 증착 방법.
  9. 제 8 항에 있어서,
    시릴하이드라진 화합물의 화학식은 R3SiN(R)NR2이며, 여기서 각각의 R은 수소기, 유기 작용기, 아미노기, 이의 유도체, 또는 이의 조합물로부터 독립적으로 선택되는
    실리콘 산화물 함유 층 증착 방법.
  10. 제 9 항에 있어서,
    상기 유기 작용기는 메틸, 에틸, 프로필, 부틸, 이의 유도체, 또는 이의 조합물로 중 어느 하나 이상인
    실리콘 산화물 함유 층 증착 방법.
  11. 제 1 항에 있어서,
    상기 실리콘 함유 가스가 트리메틸시릴아자이드, 트리스(디메틸아미노) 시릴아자이드, 2,2-디메틸하이드라진 디메틸실란 중 어느 하나 이상을 더 포함하는
    실리콘 산화물 함유 층 증착 방법.
  12. 제 11 항에 있어서,
    상기 질소 함유 가스가 암모니아이며, 상기 프로세싱 챔버가 약 10 Torr 내지 약 350 Torr의 범위 내의 압력에서 가압되는
    실리콘 산화물 함유 층 증착 방법.
  13. 제 1 항에 있어서,
    상기 질소 함유 가스가 암모니아, 하이드라진, 또는 하이드로젠 아자이드 중 어느 하나 이상인
    실리콘 산화물 함유 층 증착 방법.
  14. 제 1 항에 있어서,
    상기 실리콘 함유 가스는 아미노클로로디실란 가스이며, 상기 아미노클로로디실란 가스의 화학식은 (R2N)xSiC(3-x)SiCl(3-x)(NR2)x이며, 여기서 x는 1 또는 2이며, R은 알킬기인
    실리콘 산화물 함유 층 증착 방법.
  15. 제 14 항에 있어서,
    상기 알킬기는 메틸, 에틸, 프로필, 부틸, 이의 유도체, 또는 이의 조합물 중 어느 하나 이상인
    실리콘 산화물 함유 층 증착 방법.
  16. 제 14 항에 있어서,
    상기 질소 함유 가스는 암모니아 대 실리콘 함유 가스의 비율이 약 1:100 내지 약 100:1의 범위 내에서 제공되는 암모니아인
    실리콘 산화물 함유 층 증착 방법.
  17. 제 14 항에 있어서,
    상기 질소 함유 가스는 암모니아 대 실리콘 함유 가스의 비율이 약 5:1 내지 약 1:1의 범위 내에서 제공되는 암모니아인
    실리콘 산화물 함유 층 증착 방법.
  18. 제 14 항에 있어서,
    상기 실리콘 함유 가스가 1,3,4,5,7,8-헥사메틸테트라실라잔을 포함하며, 상기 기판의 온도가 500℃ 미만인
    실리콘 산화물 함유 층 증착 방법.
  19. 제 18 항에 있어서,
    상기 질소 함유 가스는 암모니아 대 실리콘 함유 가스의 비율이 약 50:1 내지 약 100:1의 범위 내에서 제공되는 암모니아인
    실리콘 산화물 함유 층 증착 방법.
  20. 제 18 항에 있어서,
    상기 질소 함유 가스는 암모니아 대 실리콘 함유 가스의 비율이 약 5:1 내지 약 1:1의 범위 내에서 제공되는 암모니아인
    실리콘 산화물 함유 층 증착 방법.
  21. 제 1 항에 있어서,
    상기 기판의 온도가 약 400℃ 내지 약 500℃의 범위 내인
    실리콘 산화물 함유 층 증착 방법.
  22. 제 1 항에 있어서,
    산소 전구체를 상기 프로세싱 챔버 내측으로 유동시키는 단계를 더 포함하는
    실리콘 산화물 함유 층 증착 방법.
  23. 제 22 항에 있어서,
    상기 산소 전구체가 산소 원자, 산소, 오존, 물, 수소 퍼옥사이드, 오가닉 퍼옥사이드, 알코올, 아산화 질소, 질소 산화물, 질소 이산화물, 디니트로젠 펜트옥사이드, 이의 유도체, 및 이의 조합물로 이루어진 그룹으로부터 선택되는
    실리콘 산화물 함유 층 증착 방법.
KR1020077031043A 2005-06-14 2006-06-07 실리콘 질화물 화학 기상 증착용 방법 KR100943113B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/152,501 US7365029B2 (en) 2002-12-20 2005-06-14 Method for silicon nitride chemical vapor deposition
US11/152,501 2005-06-14

Publications (2)

Publication Number Publication Date
KR20080018921A true KR20080018921A (ko) 2008-02-28
KR100943113B1 KR100943113B1 (ko) 2010-02-18

Family

ID=37070270

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077031043A KR100943113B1 (ko) 2005-06-14 2006-06-07 실리콘 질화물 화학 기상 증착용 방법

Country Status (6)

Country Link
US (1) US7365029B2 (ko)
JP (1) JP2008547200A (ko)
KR (1) KR100943113B1 (ko)
CN (1) CN101228292B (ko)
TW (1) TWI352744B (ko)
WO (1) WO2006138131A1 (ko)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7352053B2 (en) * 2003-10-29 2008-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Insulating layer having decreased dielectric constant and increased hardness
DE10350752A1 (de) * 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286819A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US20070042130A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Method of treating films using UV-generated active species
US7498270B2 (en) * 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US20070205507A1 (en) * 2006-03-01 2007-09-06 Hui-Lin Chang Carbon and nitrogen based cap materials for metal hard mask scheme
US20080096331A1 (en) * 2006-10-04 2008-04-24 Neng-Kuo Chen Method for fabricating high compressive stress film and strained-silicon transistors
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US8119540B2 (en) * 2008-03-28 2012-02-21 Tokyo Electron Limited Method of forming a stressed passivation film using a microwave-assisted oxidation process
US7807586B2 (en) * 2008-03-28 2010-10-05 Tokyo Electron Limited Method of forming a stressed passivation film using a non-ionizing electromagnetic radiation-assisted oxidation process
US7704884B2 (en) * 2008-04-11 2010-04-27 Micron Technology, Inc. Semiconductor processing methods
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
KR101174884B1 (ko) * 2010-12-23 2012-08-17 삼성디스플레이 주식회사 플렉시블 유기 발광 표시 장치 및 그 제조방법
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
EP3929326A3 (en) * 2011-06-03 2022-03-16 Versum Materials US, LLC Compositions and processes for depositing carbon-doped silicon-containing films
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US20140273526A1 (en) 2013-03-12 2014-09-18 David Thompson Atomic Layer Deposition Of Films Comprising Si(C)N Using Hydrazine, Azide And/Or Silyl Amine Derivatives
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US10443127B2 (en) 2013-11-05 2019-10-15 Taiwan Semiconductor Manufacturing Company Limited System and method for supplying a precursor for an atomic layer deposition (ALD) process
US20150187563A1 (en) * 2013-12-26 2015-07-02 Applied Materials, Inc. Photo-assisted deposition of flowable films
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
JP2016157893A (ja) * 2015-02-26 2016-09-01 東京エレクトロン株式会社 カーボン膜の成膜方法および成膜装置
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US20170114465A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. Methods Of Depositing Flowable Films Comprising SiO and SiN
KR102244755B1 (ko) * 2016-09-28 2021-04-28 다우 실리콘즈 코포레이션 클로로다이실라잔
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
CN107342403B (zh) * 2017-06-09 2019-11-12 江永斌 含有氮化硅的纳米材料及其制备方法和用途
TWI722292B (zh) * 2017-07-05 2021-03-21 美商應用材料股份有限公司 氮含量高的氮化矽膜
JP6789257B2 (ja) * 2018-02-28 2020-11-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10668511B2 (en) * 2018-03-20 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of cleaning process chamber
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
US11670551B2 (en) * 2019-09-26 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Interface trap charge density reduction

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4595775A (en) * 1984-04-06 1986-06-17 Petrarch Systems, Inc. N-methylhydridosilazanes, polymers thereof, methods of making same and silicon nitrides produced therefrom
JPS6251264A (ja) 1985-08-30 1987-03-05 Hitachi Ltd 薄膜トランジスタの製造方法
US4857140A (en) 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
US4992306A (en) 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
US4992299A (en) 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
AU662495B2 (en) 1990-09-11 1995-09-07 Procter & Gamble Company, The Improved process for obtaining highly esterified polyol fatty acid polyesters having reduced levels of difatty ketones and beta -ketoesters
JPH04365379A (ja) 1991-06-13 1992-12-17 Fuji Electric Co Ltd 薄膜トランジスタの製造方法
US5273920A (en) 1992-09-02 1993-12-28 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the gate dielectric/semiconductor layer interface
US5380566A (en) 1993-06-21 1995-01-10 Applied Materials, Inc. Method of limiting sticking of body to susceptor in a deposition treatment
JP2641385B2 (ja) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
DE69408405T2 (de) 1993-11-11 1998-08-20 Nissin Electric Co Ltd Plasma-CVD-Verfahren und Vorrichtung
WO1995018460A1 (en) 1993-12-27 1995-07-06 Kabushiki Kaisha Toshiba Thin film formation method
JP3571404B2 (ja) 1995-03-03 2004-09-29 アネルバ株式会社 プラズマcvd装置及びその場クリーニング後処理方法
US5831283A (en) * 1995-11-30 1998-11-03 International Business Machines Corporation Passivation of copper with ammonia-free silicon nitride and application to TFT/LCD
US6083852A (en) 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
JP3320685B2 (ja) 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ 微細パターン形成方法
US6555183B2 (en) 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
JP3406250B2 (ja) 1999-08-30 2003-05-12 日本エー・エス・エム株式会社 窒化珪素系膜の成膜方法
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
JP3549188B2 (ja) 2000-03-27 2004-08-04 日本エー・エス・エム株式会社 半導体基板への薄膜成膜方法
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6468903B2 (en) 2000-11-15 2002-10-22 Asm International N.V. Pre-treatment of reactor parts for chemical vapor deposition reactors
US6583046B1 (en) 2001-07-13 2003-06-24 Advanced Micro Devices, Inc. Post-treatment of low-k dielectric for prevention of photoresist poisoning
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
WO2004057653A2 (en) * 2002-12-20 2004-07-08 Applied Materials, Inc. A method and apparatus for forming a high quality low temperature silicon nitride layer
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film

Also Published As

Publication number Publication date
WO2006138131A1 (en) 2006-12-28
TW200708628A (en) 2007-03-01
TWI352744B (en) 2011-11-21
US20050255714A1 (en) 2005-11-17
JP2008547200A (ja) 2008-12-25
US7365029B2 (en) 2008-04-29
CN101228292A (zh) 2008-07-23
KR100943113B1 (ko) 2010-02-18
CN101228292B (zh) 2011-07-27

Similar Documents

Publication Publication Date Title
KR100943113B1 (ko) 실리콘 질화물 화학 기상 증착용 방법
US7473655B2 (en) Method for silicon based dielectric chemical vapor deposition
KR100849468B1 (ko) 개선된 트랜지스터 제조를 위한 낮은 열적 버짓 실리콘나이트라이드 형성
JP5890386B2 (ja) ケイ素含有フィルムの低温堆積
KR101164688B1 (ko) 게이트 스택 측벽 스페이서들을 제조하기 위한 방법
KR100961805B1 (ko) 산화규소 함유 필름의 형성 방법
US8043907B2 (en) Atomic layer deposition processes for non-volatile memory devices
US7910446B2 (en) Integrated scheme for forming inter-poly dielectrics for non-volatile memory devices
US7294581B2 (en) Method for fabricating silicon nitride spacer structures
US20080119057A1 (en) Method of clustering sequential processing for a gate stack structure
JP5307513B2 (ja) Ald法又はcvd法による金属含有膜の調製
KR20070013337A (ko) 높은 k 유전체 물질 상에 실리콘 옥시니트라이드 층의형성
KR20080027859A (ko) 광여기 증착 프로세스 동안 실리콘-함유 재료들을 형성하는방법
US20060024959A1 (en) Thin tungsten silicide layer deposition and gate metal integration
KR20070026367A (ko) 고품질 저온 질화규소층 형성 방법 및 장치
KR20200073452A (ko) 저온 실리콘 절연막 증착 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130130

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20140129

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee