CN101228292A - 氮化硅的化学气相沉积方法 - Google Patents

氮化硅的化学气相沉积方法 Download PDF

Info

Publication number
CN101228292A
CN101228292A CNA2006800208747A CN200680020874A CN101228292A CN 101228292 A CN101228292 A CN 101228292A CN A2006800208747 A CNA2006800208747 A CN A2006800208747A CN 200680020874 A CN200680020874 A CN 200680020874A CN 101228292 A CN101228292 A CN 101228292A
Authority
CN
China
Prior art keywords
silicon
compound
gas
amido
disilane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006800208747A
Other languages
English (en)
Other versions
CN101228292B (zh
Inventor
R·S·伊叶尔
S·M·佐伊特
S·坦登
E·A·C·桑切斯
S·王
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101228292A publication Critical patent/CN101228292A/zh
Application granted granted Critical
Publication of CN101228292B publication Critical patent/CN101228292B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明的具体实例一般而言是提供一种用于将含氮化硅层沉积在基板上的方法。方法包括下列步骤:将位于制程反应室内的基板加热至温度为约650℃以下;将含氮气体流入制程反应室;将含硅气体流入制程反应室;及将含氮化硅层沉积在基板上。在一具体实例中,含硅气体包含胺基二硅烷化合物、硅烷基叠氮化合物、硅烷基联胺化合物、或烷基硅氮烷化合物中的至少一种。在一具体实例中,胺基二硅烷化合物的化学式为R2NSiR’2SiR’2NR2,硅烷基叠氮化合物的化学式为R3SiN3、硅烷基联胺化合物的化学式为R’3SiNRNR2,其中各R和R’是各自分别含有氢、卤素、烷基、烯基、炔基、脂肪族烷基、环状烷基、芳香族基、有机硅烷基、烷胺基、或含有氮或硅的环状基。

Description

氮化硅的化学气相沉积方法
技术领域
本发明的具体实例一般而言是关于用于沉积含硅和氮材料的方法,且更具体而言,本发明的具体实例是关于用于热沉积氮化硅材料的化学气相沉积技术。
背景技术
例如氮化硅等含硅薄膜的热化学气相沉积法(CVD)是在制造半导体装置时所使用的一种最先进技术的前段制程。例如,在用于沉积氮化硅的热CVD制程中,热能是用于使得一种或以上的包含硅前驱物的原料化学品发生断裂,以在基板表面形成氮化硅的薄膜。传统的含氮化硅材料的热CVD是典型地在提高的制程温度下,在批式炉或在单晶片沉积室中实施。随着装置的几何尺寸缩小以使得整合更为快速,因此用于沉积薄膜的热预算(thermal budget)必须加以降低,以达成避免装置受到损坏、令人满意的加工结果、优良的生产良率、及稳固的装置性能。虽然已有某些使用沉积温度为650℃以下的用于含氮化硅材料的CVD制程的提案,但是并无仅使用热处理(亦即,无等离子或光子辅助的制程)已显示在制造半导体装置时具有适用于大规模生产的利用价值。
因此,其是需要一种在温度为约650℃以下沉积例如氮化硅和氧氮化硅的含氮化硅材料的方法。
发明内容
本发明的具体实例一般而言是提供一种用于沉积含硅和氮的薄膜的方法。在一具体实例中,一种用于将含氮化硅层沉积在基板上的方法,包括下列步骤:将位于制程反应室(processing chamber)内的基板加热至温度为约650℃以下;将含氮气体流入制程反应室;将含氮气体流入制程反应室;及将含氮化硅层沉积在基板上。在一具体实例中,该含硅气体包含胺基二硅烷化合物、硅烷基叠氮化合物、硅烷基联胺化合物、烷基硅氮烷化合物(alkylsilazane compound)、其衍生物、或其组合中的至少一种。在一具体实例中,胺基二硅烷化合物的化学式为R2NSiR’2SiR’2NR2,硅烷基叠氮化合物的化学式为R3SiN3,且硅烷基联胺化合物的化学式为R’3SiNRNR2,其中该R和R’包含至少一官能基,各自分别选自由氢、卤素、烷基、烯基、炔基、脂肪族烷基、环状烷基、芳香族基、有机硅烷基、烷胺基、含有氮或硅的环状基以及其衍生物所组成的族群中的至少一种。在另一具体实例中,该R和R’是又含有至少一官能基,各自分别选自由氯基、甲基、乙基、丙基、异丙基、三甲基硅烷基、吡咯啶、其衍生物以及其的组合所组成的族群中的至少一种。在另一具体实例中,该烷基硅氮烷化合物是1,3,4,5,7,8-六甲基四硅氮烷。
附图说明
藉此方式则可详细地理解到如上所例示本发明的特征方法,本发明的更特定的说明,如上所述者,将可藉由参考具体实例而获得了解,一部份是展示于附加的图式中。然而,应了解到所附加的图式是仅作为例示本发明的典型的具体实例,且并非视为限制其范围,本发明是承认熟习此技艺者的其它等效的具体实例。
图1是供实施本发明氮化硅沉积方法的制程反应室的一具体实例概略剖面图。
图2是沉积氮化硅薄膜的方法的一具体实例流程图。
图3A是具有至少一部份是根据图2的方法所沉积的氮化硅层的MOSFET晶体管剖面图。
图3B是具有至少一部份是根据图2的方法所沉积的氮化硅层的MOSFET晶体管剖面图。
图4是典型的具有至少一部份是使用图2的方法所沉积的氮化硅层的双极性晶体管剖面图。
主要组件符号说明
100    制程反应室
102    本体
104    内部容积
106    壁
108    底板
110    盖
112    导液路
114    顶起梢
116    电源
118    顶起板
120    加热器
122    基板
124    台座
126    泵送口
128    基板存取口
130    台座升降机组
132    波纹管
134    进口
136    气体控制面板
138    泵送系统
140    气体管线
142    泵送环
144    喷淋头
146    控制器
148    存储器
150    CPU(中央处理单元)
152    支持电路
154    穿孔区
200    方法
202    沉积步骤
204    加热步骤
206    含氮气体流入步骤
208    硅源气体流入步骤
310    基板
312    源极/漏极层
313    含硅层
314    含硅层
316    间隔物
318    栅极阻障层
320    偏置层
322    栅极层
324    蚀刻停止层
326    介电质层
328    介层洞
430    基板
432    n型层
433    隔离层
434    化合物层
436    接触层
438    偏置层
440    隔离层
具体实施方式
为容易了解,其中是使用完全相同的组件符号,若可能时,对于图中共同的同一组件则附以相同符号。可预期的是一具体实例的某些组件代表符号是可相辅相成并存于其它具体实例。
本发明的最佳实施方式
本发明的具体实例是提供一种用于使用约650℃以下的温度将例如氮化硅等的含硅层沉积在基板上的方法。虽然本发明将针对如图1所示的单晶片热化学气相沉积(制程)反应室100为例加以说明,但是该方法是也可相辅相成实务应用在其它沉积系统,包括批式沉积系统在内。此等之中,可实施氮化硅沉积制程的制程反应室包括:可获自应用材料(AppliedMaterials)股份有限公司(加州Santa Clara)的SINGEN或SINGENPlus制程反应室。适合于实施本发明的其它系统的实例包括:可获自日本东京电子有限公司(Tokyo Electron Limited)的TELFORMULA批式炉;可获自应用材料股份有限公司的FLEXSTAR迷你型批式硅沉积系统;及可获自ASM International N.V.的EPSILON单晶片外延反应炉。
除热CVD之外,其它可用于沉积氮化硅材料的制程包括:脉冲-CVD、及原子层沉积(ALD)制程。在脉冲-CVD制程中,例如硅前驱物和反应物等的试剂是以共流和脉冲导入制程反应室。在ALD制程中,例如硅前驱物和反应物等的化学药剂,则是以各自和时序脉冲控制导入制程反应室。等离子增强沉积技术也可使用于ALD或CVD的任一制程中。在本文中所揭示的沉积制程中,可将含氮化硅材料沉积在单一基板或成批基板上。
在图1的具体实例中,制程反应室100包括联结到泵送系统138的反应室本体102、控制器146、及气体控制面板(gas panel)136。反应室本体102具有壁106、底板108、及限定内部容积104的盖110。本体102的壁106是加以热调节。在一具体实例中,数个导液路112是设置在壁106内,且加以构成为可用以调节反应室本体102的温度的热传液体在该导液路112内循环。壁106也额外地包括一基板存取口128,构成为使得基板122的工件容易从制程反应室100进出。
基板支撑台座124是配置在反应室本体102的内部容积104内,用以在加工处理时支撑基板122。基板支撑台座124包括构成为可调节基板122的温度和/或加热制程反应室100的内部容积104的加热器120。如图1所示的具体实例中,加热器120是一种连接到电源116的电阻加热组件,且可将基板加热至温度为550℃以上者。
台座升降机组130是联结到基板支撑台座124,且是构成为可在介于上升的加工处理位置(如图1所示)与易于经由基板存取口128存取位于台座124上的基板122的下降位置之间控制台座124的高度。台座升降机组130是以封闭方式使用挠性波纹管132联结到反应室本体102的底板108。台座升降机组130视需要也可构成为使得台座124在加工处理时旋转的方式。
气体控制面板136是联结到制程反应室100,且构成为可对制程反应室本体的内部容积104供应制程用化学品及其它气体。如图1所示的具体实例中,气体控制面板136是经由气体管线140联结到在反应室本体102的盖110中所形成的进口134。当然,进口134可形成为穿过反应室本体102的一个或以上的其它位置。
喷淋头144是联结到反应室本体102,用以改善由气体控制面板136所供应至制程反应室100的内部容积104的气体的均匀分布。喷淋头144包括穿孔区154。在穿孔区154所形成的许多孔是以能提供经通过喷淋头144而到达基板122的气体的预定流动分布的方式来构成其尺寸、几何配置、数量和分布
顶起梢114(其中之一是展示于图1中)是配置用于将基板122从基板支撑台座124的上表面分离,以易于经由存取口128伸入制程反应室本体的机械手(未图标)移出基板。如图1所示的具体实例中,顶起板118是设置在基板支撑台座124的下面,且加以配置成使得台座124在下降时,顶起梢114则将在台座124完成其下降行程之前,即接触到顶起板118。藉此,顶起板118将支撑顶起梢114使得台座124继续下降,顶起梢114从台座上的表面伸出。顶起板118的位置和/或顶起梢114的长度是构成为当台座124位于下降位置时,能使得基板122位于与基板支撑台座124相隔,且通常是在与存取口128排成一线的位置。
泵送系统138是联结到在反应室本体102所形成的泵送口126。泵送系统138通常包括配置成可控制在制程反应室100的内部容积104的内的压力的节流阀及一个或以上的泵。从内部容积104流向泵送口126的气体是可经由泵送环142等的路径,以改善气体均匀地在基板122的表面上流动。一种可相辅相成地适用于本发明的泵送环是揭示于美国发明专利第10/911,208号,建档于2004年10月4日且公告于美国发明专利第2005-0109276号,其中全部的内容并入本文参考。
控制器146是联结到制程反应室100的各组件,以使其容易控制如下所述氮化硅的沉积制程。控制器146通常包括中央处理单元(CPU)150、存储器148、及支持电路152。CPU 150可为任何形态的可使用工业用调节装置的用于控制各反应室的计算机处理机及副处理机。存储器148、或计算机可读的储存媒体是可为一种或以上的可容易获得的存储器,例如随机存取存储器(RAM)、只读存储器(ROM)、软磁盘、硬盘、闪存、或任何其它形态的区域性或遥控式数字储存器等。支持电路152是联结到CPU 150,以传统方法支持处理机。此等支持电路152包括高速缓存、电源、时钟电路、输入/输出电路及子系统等。例如,一种如下所述的含硅材料沉积制程200的制程通常是储存于存储器148,其是典型地作为软件程序。软件程序也可加以储存和/或藉由遥控以CPU 150所控制的硬件的第二CPU(未图标)来执行。虽然本发明的沉积制程是描述为以软件程序实施,但是揭示于其中的某些方法步骤,是可在硬件及藉由软件控制器来实施。因此,本发明可在计算机系统上的软件中执行、在应用特定的集成电路或其它类型的硬件中执行、或软件与硬件的组合并用。
图2是展示含硅材料沉积制程200的一具体实例,其是可在制程反应室100、或其它适当的设备中实施。方法200是从将基板122放置在基板支撑台座124上的步骤202开始。关于可实务应用本发明的氮化硅沉积制程的具体实例的基板122包括(但是并不受限于此等):例如结晶质硅(例如,Si<100>、或Si<111>)、氧化硅、应变硅、硅在绝缘体上(SOI)、锗化硅、及掺杂或非掺杂多晶硅(polysilicon)等的半导体晶片。用于沉积氮化硅层的基板表面可为裸硅、介电质材料、导电性材料、阻障性材料等。基板122在沉积含硅材料之前,视需要可藉由抛光步骤、蚀刻步骤、还原步骤、氧化步骤、卤化步骤、氢氧化(hydroxylation)步骤、和/或退火步骤等实施预处理。
在步骤204中,基板122是加热至温度为约650℃以下。在一具体实例中,基板122是藉由从电源116对电阻式加热组件(亦即,加热器120)施加电力,以将基板122加热至温度为介于约400与约650℃之间,而在另一具体实例中,基板122是加热至约600℃以下。
在步骤206中,来自气体控制面板136的含氮气体流是经由喷淋头144供应至制程反应室100的内部容积。含氮气体通常是从气体控制面板136供应至进口134。
适当的含氮气体的实例包括(但是并不受限于此等):氨气(NH3)、联胺(N2H4)、氢叠氮(HN3)、其衍生物、或其的组合。含氮气体较佳为含有用于在低温分解氮源气体的氮-氮单键(亦即,N-N单键)。除此之外,当硅源气体和含氮气体是使用于制程气体混合物中时,则为在沉积时,可将若干数量的氮源气体包含在气体混合物中,以进一步控制沉积层的组成物。在一具体实例中,含氮气体是氨气。
在步骤208中,硅源气体流是从气体控制面板136经由喷淋头144供应至反应室本体102的内部容积104。虽然介于气体控制面板136与进口134之间是展示仅布置一气体管线140,但是可预期的是硅源气体和含氮气体是可在分开的气体管线供应至制程反应室100。也可预期的是气体管线可加以控制其温度。此外,也可预期的是可将在步骤206的含氮气体连同在步骤208的硅源气体一起同时导入进口134、或在步骤206或步骤208的任一者、或步骤206是在步骤208之前,反之亦然。再者,步骤206和步骤208可加以程序化的方式,使得化学品计量时间可加以设计,以确保原子层覆盖率,且在各步骤之间以所欲惰性气体例如氩气充分地净化。
可用于藉由热化学气相沉积法在低温和充分高沉积速率下制造氮化硅材料或层的硅源气体包括:具有一个或以上Si-N键或Si-Cl键的化合物,例如双(三级丁基胺基)硅烷(BTBAS)、或六氯二硅烷(HCD或Si2Cl6)等。在某些具体实例中,在前驱物中又包含:Si-Si键、N-N键、N=N键、或Si-N和Si-Cl键的混合物、或其组合等也是相辅相成的。
当在沉积制程中使用一种含有Si-Cl官能基和Si-N官能基的组合的化学前驱物时,则将可改善梯阶覆盖(step coverage)和微负载(microloading),尤其是可在适当的沉积速率下皆可降低温度者。Si-Cl基的数量可根据相对于Si-N基的数量来加以变化。Si-Cl和S-N键显示对于层性质和沉积性质是具有不同的功效,且Si-N对S-Cl键的比率也可用于平衡层性质和沉积性质。
在一具体实例中,具有如上所述的较佳的键结构的化合物是可具有下列通式结构:
(I)R2NSiR’2SiR’2NR2(胺基二硅烷化合物)、
(II)R3SiN3(硅烷基叠氮化合物)、或
(III)R’3SiNRNR2(硅烷基联胺化合物)。
在如上所示通式结构中,R和R’包括选自由氢基、卤素基、烷基、烯基、炔基、脂肪族烷基、环状烷基、芳香族基、有机硅烷基、烷胺基、含有氮或硅的环状基、其衍生物、或其的组合所组成的族群中的一种或以上的官能基。
适当的官能基的实例包括:氯基、甲基、乙基、丙基、异丙基、三甲基硅烷基、吡咯啶、其衍生物、或其的组合。适当的化合物的实例包括:1,2-二乙基-肆(二乙基胺基)二硅烷〔(CH2CH3((CH3CH2)2N)2Si)2〕、1,2-二氯-肆(二乙基胺基)二硅烷〔(Cl((CH3CH2)2N)2Si)2〕、陆(N-吡咯啶基)二硅烷〔((C4H9N)3)Si〕2〕、1,1,2,2-四氯-双(二-三甲基胺基)二硅烷〔(Cl2((CH3)3Si)2N)Si〕2〕、1,1,2,2-四氯-双(二-异丙基)二硅烷〔(Cl2((C3H7)2N)Si)2〕、1,2-二甲基-肆(二乙基胺基)二硅烷〔(CH3(CH3CH2N)2Si)2〕、三甲基硅烷基叠氮〔(CH3)3SiN3〕、参(二甲基胺基)硅烷叠氮〔((CH3)2N)3SiN3〕、2,2-二甲基联胺二甲基硅烷〔(CH3)2(H)Si〕(H)NN(CH3)2〕、1,3,4,5,7,8-六甲基四硅氮烷、其衍生物、或其组合。
申请人相信具有硅对硅单键(亦即,Si-Si单键)的硅源气体(前驱物)或硅和氮源气体(前驱物)是能使得分子在例如约550℃或以下的降低的温度下发生分解或解离。
适当的硅源气体的其它实例包括:硅烷基叠氮类R3-SiN3及硅烷基联胺类的前驱物R3SiNR-NR2、线状及环状的具有任何R基的组合。R基可为氢基或有机官能基,例如甲基、乙基、丙基、丁基、及其类似物(例如,烷基类、烯类、或炔类)等。连接到硅的R基视需要可为另一种胺基NH2或NR2。使用此硅源气体的一优点是硅和氮是同时输入,然而避免含有氯,以获得薄膜是具有优良梯阶覆盖和减至最少的图案依存性(patterndependence)(称为「图案负载(pattern loading)」),并无非吾所欲对传统的Si-N薄膜前驱物是难题的形成氯化铵微粒。
应注意的是N-N键也存在于氢叠氮、联胺和甲基联胺、及在经实施CVD沉积的含氮化硅薄膜之中。然而,对于此等后者的前驱物而言,其需要分开添加的硅源,且低温硅源例如二硅烷是显示梯阶覆盖不良和图案负载高,而六氯二硅烷(HCD)是具有氯化铵微粒的问题。应注意的是胺基二硅烷类例如BTBAS是显示图案负载最小且梯阶覆盖优良,并无氯化铵的顾虑,供应完整的Si-N键单元似乎是要求条件。胺基二硅烷类通常是需要充分地超过600℃的制程温度,以具有可被接受的沉积速率。欲能符合全部要求条件的解决方法是使用一种含有包括用于低温分解的弱N-N键和胺基硅烷官能性Si-N的两项关键特征的前驱物。
硅烷基叠氮化合物的具体实例包括:三甲基硅烷基叠氮(市售商品级可获自位于宾州Bristol的联合化学技术公司(United ChemicalTechnologies))、及参(二甲基胺基)硅烷基叠氮。硅烷基联胺化合物的具体实例包括:2,2-二甲基联胺二甲基硅烷〔(CH3)2SiHNHN(CH3)2〕。
由于硅源气体和含氮气体是在基板制程反应室100中结合,因此在加热的基板122上是形成例如氮化硅材料或其组合物薄膜(例如,SixNy或Si3N4)等的含硅材料。尽管氮是从含硅气体供应至反应区的内部容积中,含硅气体是与含氮气体混合,因为对于硅和氮导入所沉积的含氮化硅薄膜的掺混效率是具有不同的动力学阻障(kinetic barrier)。所沉积的含硅材料显示具有例如优良的折射率和湿式蚀刻速率等薄膜品质,且沉积速率为大于5/min。在一具体实例中,含硅薄膜是以约10/min至约500/min的速率沉积,且所沉积的厚度为约10至约1,000。如上所述所形成的含硅薄膜显示具有低含氢量,且包含少量的可强化硼保持在PMOS(P信道金属氧半导体)装置中的掺杂碳。在使用不含卤素的硅源气体的具体实例中,可实现改善湿式蚀刻速率。
藉由使用方法200所制得的化学计量氮化硅薄膜,视温度和所选择的R基而定,通常自始至终有可能仍含有约30重量%以下的碳及约10重量%以上的氢。藉由使用方法200所沉积的薄膜,若需要的话,可额外地施加适当的进一步后加工处理,以降低所沉积的含氮化硅薄膜的氢含量。氢自由基是可藉由使用遥控式等离子源、热线触媒分解或其它适当的方法来产生。在本文的具体实例中可使用的后加工处理是揭示在建档于2003年12月19日的美国发明专利第10/741,417号,及公告于美国发明专利第2004-0194706号,其中的全部内容并入本文参考。藉由使用方法200所沉积的薄膜的后加工是可视需要在本文中所揭示的具体实例中实施。
在步骤206和/或208步骤中,可提供载气(carrier gas)以控制氮气和/或含硅源气体的分压为数mTorr至数百Torr,且控制在单晶片反应室中的总压为约1Torr至约760Torr。在另一具体实例中,在制程反应室中的压力为介于约10Torr与350Torr之间。在批式制程系统中,可供应载气以控制硅源气体和/或含氮和碳气体的分压为约100mTorr至约1Torr压力。此等之中,适当的载气的实例包括氮气(N2)、氩气(Ar)、及氦气(He)。
视需要可将氧前驱物添加到沉积方法200中,典型为在步骤204和/或步骤206中,以形成氮化硅或氧氮化硅。可使用于本文所揭示的沉积制程中的氧前驱物包括:氧原子、氧气(O2)、臭氧(O3)、水(H2O)、过氧化氢(H2O2)、有机过氧化物、醇类、一氧化二氮(N2O)、一氧化氮(NO)、二氧化氮(NO2)、五氧化二氮(N2O5)、其衍生物、或其组合。可预期的是载气和/或氧前驱物的使用是可视需要在本文所揭示的任何具体实例中实施。
在方法200的另一具体实例中,含硅气体是一种胺基氯二硅烷化合物或前驱物。胺基氯二硅烷化合物的化学式可为:(R2N)xSiCl(3-x)SiCl(3-x)(NR2)x,其中x是1或2,且各R是各自分别为甲基、乙基、丙基、异丙基、或其它烷基。此类型的含硅气体是独特的,因为其是含有一种具有Si-Si键是脆弱到足以使其容易热供应(thermal delivery)Si-N单元的N-Si-Si-N链,以超过40/min的沉积速率及低活化能沉积一种含氮化硅(Si-N)薄膜。
含硅气体例如胺基氯二硅烷类的前驱物等是独特的,因为氮是同时供应,同时仍供应若干必要的氯,以产生具有优良梯阶覆盖和最小的图案依存性。在前驱物中的氯减少,与其它传统的氮化硅前驱物相比较,则其是可转换成实质地减少非吾所欲的氯化铵微粒形成。
与烷基氯二硅烷前驱物相比较,含有胺基氯二硅烷前驱物的含硅气体供应入所沉积氮化硅薄膜中的碳含量较低。在所沉积的氮化硅材料中的碳含量较高,部份是由于胺基二硅烷化合物的N-C键是比烷基氯二硅烷化合物的N-C键是比烷基氯二硅烷化合物的Si-C键较弱的结果。
一种使用胺基氯二硅烷化合物作为含硅气体所实施的方法200的两个具体实例说明如下。在如上所述的制程反应室100中所实施的第一具体实例中,该方法200包括:在步骤202中,将基板122加热至温度为在约400℃至约650℃的范围,例如约600℃以下的步骤。在另一具体实例中,基板则加热至约500℃以下。在步骤204中,一种例如胺基氯二硅烷的硅源气体是以约0.2克/分钟(g/min)至约1.0克/分钟的范围内的速率供应至制程反应室100。在另一实例中,硅源气体是以约0.5克/分钟的速率供应。介于台座与喷淋头之间的间隔通常是设定为约500mils(约12.7毫米)至约1,000mils(约25.4毫米)的范围内。在步骤206中,将例如氨气的含氮气体供应至制程反应室100,且与含硅气体结合。含氮气体是以约10倍大于含硅气体的速率供应。例如,氨气对含硅气体的比率为在约1∶100至约100∶1的范围。反应室的压力通常是维持在约10Torr至约300Torr的范围内,例如约50Torr至约100Torr。在实施方法200时,氮化硅材料是可在约60/min至约200/min的范围内,例如约100/min的速率加以沉积。
在适合于以批式炉沉积含氮化硅薄膜的方法200的第二具体实例中,该方法200包括:在步骤202中,将基板122加热至温度为在约400℃至约650℃的范围内,例如约600℃以下的步骤。在另一具体实例中,将基板122是加热至约500℃以下。在步骤206中,将例如氨气的含氮气体供应至制程反应室100,且与含硅气体结合。含氮气体是以约10倍少于含硅气体的速率供应,其通常是视炉管容积而定。例如,氨气对含硅气体的比率可在约5∶1至约1∶1的范围内。反应室的压力通常是维持在约10Torr至约300Torr的范围内,例如约50Torr至约100Torr。在实施方法200时,氮化硅材料是可在约60/min至约200/min的范围内,例如约100/min的速率加以沉积。炉内压力通常是维持在约0.1至约2.0Torr的范围内,例如介于约0.4至约1.0Torr之间。实施方法200的本具体实例的结果,可获得氮化硅沉积速率通常为介于约5/min至约20/min之间,例如约12/min。
在使用其它含硅气体来实施方法200的其它实例中,一种由1,3,4,5,7,8-六甲基四硅氮烷所组成的含硅气体,可用于沉积含氮化硅薄膜。1,3,4,5,7,8-六甲基四硅氮烷前驱物是独特的,因为其是在链中含有各硅原子并未完全地以甲基终端的交替N-Si键,因此遗留活性Si-H键及可与Si-H(例如,其它两个N是N-甲基)反应的碱性N-H基。此结构可容许使其容易热供应Si-N单元,以超过40/min的快速沉积速率及低活化能用于沉积一种含氮化硅薄膜。
在上述具体实例和实例中,虽然针对含硅气体是先导入,接着是含氮气体的方式加以说明,但是应了解到对于某些应用而言,含硅气体和含氮气体的导入顺序也可加以逆转。
1,3,4,5,7,8-六甲基四硅氮烷前驱物是一种硅氮烷化合物。硅氮烷类含有交替的N-Si链,且一般是用于经由高温热分解(例如,在超过1,000℃的温度)制造氮化硅陶瓷构件。硅氮烷类的热分解在较低温度下通常会遗留至少约20重量%的碳于陶瓷材料中(且典型为约50重量%于副产物中)。因此,硅氮烷类在用于沉积SixCyNz薄膜的高温热分解或使用等离子增强的两者任一的CVD领域中已是众所皆知者。
本发明的两项独特的特点是:选用1,3,4,5,7,8-六甲基四硅氮烷作为含硅气体、及添加入大量的氨气。此组合结果导致以高速率、但是低温,例如约600℃以下所沉积的薄膜具有低碳含量。在硅氮烷类中,化合物1,3,4,5,7,8-六甲基四硅氮烷是独特的,因为各硅并未完全地以甲基加以终端,因此遗留活性Si-H键及可与Si-H(例如,其它两个N是N-甲基)反应的碱性N-H基。另外,与更普通的硅氮烷相比较,在此化合物中的C对Si/N的比率较低。化合物1,3,4,5,7,8-六甲基四硅氮烷是商品级可获自宾州Bristol的联合化学技术(United Chemical Technologies)公司。
使用如上所述方法200所沉积的含氮化硅材料,由于数种物理性质而广泛地使用于电子零组件及装置。含氮化硅材料是一种电绝缘体及阻障材料。当含硅材料是配置介于例如栅极材料与电极之间、或介于低介电常数的多孔性材料与铜之间时,阻障特性会抑制离子在不同的材料或组件之间扩散。因此,含氮化硅材料可使用于阻障层、保护层、偏置层、间隔物层和上盖层(capping layer)。氮化硅材料的其它物理性质是具有高硬度。在某些应用中,含氮化硅材料可用作为各种光学装置及工具等的保护被覆。然而,含硅材料例如氮化硅的其它物理性质,可用作为在氧化硅介电质层下的蚀刻停止层(etch stopping layer),以精确地控制蚀刻深度,而并不会发生过度蚀刻或蚀刻不足。此外,含氮化硅材料的物理性质是其中碳和氢浓度可用于调整薄膜应力,例如具有吾所欲应用的高抗拉应力。
在某些具体实例中,如图3A、3B和4所示,氮化硅材料是可沉积如同在MOSFET(金属氧半导体场效应晶体管)和双极性晶体管中的各层。例如,图3A是展示氮化硅材料是沉积在一种含有凹入和提高两者的源极/漏极的MOSFET内部。源极/漏极层312是藉由基板310的离子布植法所形成。通常基板310是经掺杂的n型,而源极/漏极层312是经掺杂的p型材料。通常含有硅、硅锗(SiGex)、硅碳(SiCx)、硅锗碳(SiGexCy)、或其经掺杂的衍生物的含硅层313是藉由CVD法选择性地经外延生长在源极/漏极层312上、或直接生长在基板310上。含硅层314也是藉由CVD法选择性地经外延生长在含硅层313上。栅极阻障层318是用以桥接被分段的含硅层313。通常栅极阻障层318可为由氧化硅、氧氮化硅、或氧化铪所组成。局部地围绕栅极阻障层318的是间隔物316,其是通常为例如氯化物/氧化物/氮化物堆(stack)(例如,Si3N4/SiO2/Si3N4)等的隔离材料。另一可行方法是间隔物316可为氮化硅材料的均质层,例如藉由在本文所揭示的各种方法所沉积的氮化硅或氧氮化硅。栅极层322(例如,多晶硅)可具有间隔物316和偏置层320位于任一侧。偏置层320可为藉由在本文所揭示的各种制程所沉积的氮化硅材料、或氧化硅材料所组成。
图3B是展示沉积在MOSFET上的用于蚀刻源极/漏极层和栅极接触介层洞(gate contact via)的蚀刻停止层324。蚀刻停止层324可为藉由在本文所揭示的各种制程所沉积的氮化硅材料例如氮化硅所组成。前置-金属介电质层(pre-metal dielectric layer)326(例如,氧化硅)是沉积在蚀刻停止层324上,且包含在其上所形成的接触孔介层洞(contact hole vias)328。
在另一具体实例中,图4是展示在本发明的各具体实例中,所沉积的作为在双极性晶体管内的数层的氮化硅材料。含硅化合物层434是沉积在先前已沉积在基板430的n-型集极层(collector layer)432上。晶体管进一步又包括:隔离层433(例如,SiO2、SiOxNy、或Si3N4)、接触层436(例如,经大量掺杂的多晶硅)、偏置层438(例如,Si3N4)、及第二隔离层440(例如,SiO2、SiOxNy或Si3N4)。隔离层433和440、及偏置层438是可藉由在本文所揭示的各种制程各自分别沉积例如氧氮化硅、碳氮化硅、和/或氮化硅等作为氮化硅材料。在一具体实例中,隔离层433和440是含有氧氮化硅,且偏置层438是含有氮化硅。
如上所述,本发明已提供一种用于沉积例如氮化硅等的含硅层的方法。如上所述的方法是适合于制造具有小的临界尺寸(critical dimension)且要求低热预算(由于使用沉积温度为约650℃以下)的装置,其是可有利地使用次90奈米技术来制造稳固的电路。虽然前述具体实例是以使用温度为650℃以下为例加以说明,但是可预期的是对于本发明的某些应用而言,基板及其电路对于高温是具有弹性的。因此,如前所述具体实例当可发现在使用温度为超过650℃的制程的应用。
虽然如前所述是用于引导本发明的具体实例,但是可设计本发明的其它及进一步的具体实例,而并不会脱离其基本的范围,且该范围是根据权利要求加以设定。

Claims (23)

1.一种沉积含氮化硅层在基板上的方法,其包括下列步骤:
将位于制程反应室内的基板加热至低于650℃的温度;
将含硅气体流入该制程反应室,其中该含硅气体包含至少一化合物,其是选自由胺基二硅烷化合物、硅烷基叠氮化合物、硅烷基联胺化合物、烷基硅氮烷化合物、其衍生物、及其组合所组成的族群中;
将含氮气体流入该制程反应室;及
沉积含氮化硅层在该基板上。
2.如权利要求1所述的方法,其中该胺基二硅烷化合物的化学式为R2NSiR’2SiR’2NR2、该硅烷基叠氮化合物的化学式为R3SiN3、且该硅烷基联胺化合物的化学式为R’3SiNRNR2,其中R和R’包含至少一种官能基,其各自分别选自由氢、卤素、烷基、烯基、炔基、脂肪族烷基、环状烷基、芳香族基、有机硅烷基、烷胺基、含有氮或硅的环状基、其衍生物、及其的组合所组成的族群中。
3.如权利要求1所述的方法,其中该R和R’更包含至少一种官能基,其各自分别选自由氯基、甲基、乙基、丙基、异丙基、三甲基硅烷基、吡咯啶、其的衍生物、及其的组合所组成的族群中。
4.如权利要求1所述的方法,其中该烷基硅氮烷化合物是1,3,4,5,7,8-六甲基四硅氮烷。
5.如权利要求1所述的方法,其中该含硅气体更包含至少一化合物,其是选自由1,2-二乙基-肆(二乙基胺基)二硅烷、1,2-二氯-肆(二乙基胺基)二硅烷、陆(N-吡咯啶基)二硅烷、1,1,2,2-四氯-双(二-三甲基胺基)二硅烷、1,1,2,2-四氯-双(二-异丙基)二硅烷、1,2-二甲基-肆(二乙基胺基)二硅烷、三甲基硅烷基叠氮、参(二甲基胺基)硅烷叠氮、2,2-二甲基联胺二甲基硅烷、其的衍生物、及其的组合所组成的族群中。
6.如权利要求2所述的方法,其中该硅烷基叠氮化合物的R基是各自分别为氢基、有机官能基、胺基、其的衍生物、或其的组合。
7.如权利要求6所述的方法,其中该有机官能基是甲基、乙基、丙基、丁基、其衍生物、或其组合。
8.如权利要求1所述的方法,其中该含硅气体更包含硅烷基联胺化合物。
9.如权利要求8所述的方法,其中该硅烷基联胺化合物具有以R3SiN(R)NR2所代表的化学式,其中各R是分别选自氢基、有机官能基、胺基、其的衍生物、或其的组合。
10.如权利要求9所述的方法,其中该有机官能基是甲基、乙基、丙基、丁基、其的衍生物、或其的组合中的至少一种。
11.如权利要求1所述的方法,其中该含硅气体更包含三甲基硅烷基叠氮、参(二甲基胺基)硅烷基叠氮、或2,2-二甲基联胺二甲基硅烷中的至少一种。
12.如权利要求11所述的方法,其中该含氮气体是氨气,且该制程反应室是被加压至介于约10Torr至约350Torr的压力范围内。
13.如权利要求1所述的方法,其中该含氮气体是氨气、联胺、或氢叠氮中的至少一种。
14.如权利要求1所述的方法,其中该含硅气体是胺基氯二硅烷气体、且该胺基氯二硅烷气体的化学式为(R2N)xSiCl(3-x)SiCl(3-x)(NR2)x,其中x是1或2,且R是烷基。
15.如权利要求14所述的方法,其中该烷基是甲基、乙基、丙基、丁基、其衍生物、或其组合中的至少一种。
16.如权利要求14所述的方法,其中该含氮气体是以氨气对含硅气体的比率为在约1∶100至约100∶1的范围内所供应的氨气。
17.如权利要求14所述的方法,其中该含氮气体是以氨气对含硅气体的比率为在约5∶1至约1∶1的范围内所供应的氨气。
18.如权利要求14所述的方法,其中该含硅气体包含1,3,4,5,7,8-六甲基四硅氮烷,且基板的温度是500℃以下。
19.如权利要求18所述的方法,其中该含氮气体是以氨气对含硅气体的比率为在约50∶1至约100∶1的范围内所供应的氨气。
20.如权利要求18所述的方法,其中该含氮气体是以氨气对含硅气体的比率为由约5∶1至约1∶1的范围内所供应的氨气。
21.如权利要求1所述的方法,其中该基板的温度是在约400℃至约500℃的范围内。
22.如权利要求1所述的方法,更包括将氧前驱物流入该制程反应室的步骤。
23.如权利要求22所述的方法,其中该氧前驱物是选自由氧原子、氧气、臭氧、水、过氧化氢、有机过氧化物、醇类、一氧化二氮、一氧化氮、二氧化氮、五氧化二氮、其衍生物、及其组合所组成的族群中。
CN2006800208747A 2005-06-14 2006-06-07 氮化硅的化学气相沉积方法 Expired - Fee Related CN101228292B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/152,501 US7365029B2 (en) 2002-12-20 2005-06-14 Method for silicon nitride chemical vapor deposition
US11/152,501 2005-06-14
PCT/US2006/022192 WO2006138131A1 (en) 2005-06-14 2006-06-07 Method for silicon nitride chemical vapor deposition

Publications (2)

Publication Number Publication Date
CN101228292A true CN101228292A (zh) 2008-07-23
CN101228292B CN101228292B (zh) 2011-07-27

Family

ID=37070270

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800208747A Expired - Fee Related CN101228292B (zh) 2005-06-14 2006-06-07 氮化硅的化学气相沉积方法

Country Status (6)

Country Link
US (1) US7365029B2 (zh)
JP (1) JP2008547200A (zh)
KR (1) KR100943113B1 (zh)
CN (1) CN101228292B (zh)
TW (1) TWI352744B (zh)
WO (1) WO2006138131A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106048557A (zh) * 2011-06-03 2016-10-26 气体产品与化学公司 用于沉积碳掺杂含硅膜的组合物和方法
CN107342403A (zh) * 2017-06-09 2017-11-10 江永斌 含有氮化硅的纳米材料及其制备方法和用途
CN108140555A (zh) * 2015-10-22 2018-06-08 应用材料公司 沉积包含SiO及SiN 的可流动薄膜的方法
CN110205606A (zh) * 2018-02-28 2019-09-06 株式会社国际电气 半导体器件的制造方法、衬底处理装置、及记录介质
CN114540792A (zh) * 2017-07-05 2022-05-27 应用材料公司 氮含量高的氮化硅膜
CN115504477A (zh) * 2016-09-28 2022-12-23 美国陶氏有机硅公司 氯二硅氮烷

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7352053B2 (en) * 2003-10-29 2008-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Insulating layer having decreased dielectric constant and increased hardness
DE10350752A1 (de) * 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286819A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US20070042130A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Method of treating films using UV-generated active species
US7498270B2 (en) * 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US20070205507A1 (en) * 2006-03-01 2007-09-06 Hui-Lin Chang Carbon and nitrogen based cap materials for metal hard mask scheme
US20080096331A1 (en) * 2006-10-04 2008-04-24 Neng-Kuo Chen Method for fabricating high compressive stress film and strained-silicon transistors
US20080124946A1 (en) * 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US8119540B2 (en) * 2008-03-28 2012-02-21 Tokyo Electron Limited Method of forming a stressed passivation film using a microwave-assisted oxidation process
US7807586B2 (en) * 2008-03-28 2010-10-05 Tokyo Electron Limited Method of forming a stressed passivation film using a non-ionizing electromagnetic radiation-assisted oxidation process
US7704884B2 (en) * 2008-04-11 2010-04-27 Micron Technology, Inc. Semiconductor processing methods
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
KR101174884B1 (ko) * 2010-12-23 2012-08-17 삼성디스플레이 주식회사 플렉시블 유기 발광 표시 장치 및 그 제조방법
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US9978585B2 (en) 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US20140273526A1 (en) 2013-03-12 2014-09-18 David Thompson Atomic Layer Deposition Of Films Comprising Si(C)N Using Hydrazine, Azide And/Or Silyl Amine Derivatives
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US10443127B2 (en) 2013-11-05 2019-10-15 Taiwan Semiconductor Manufacturing Company Limited System and method for supplying a precursor for an atomic layer deposition (ALD) process
US20150187563A1 (en) * 2013-12-26 2015-07-02 Applied Materials, Inc. Photo-assisted deposition of flowable films
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
JP2016157893A (ja) * 2015-02-26 2016-09-01 東京エレクトロン株式会社 カーボン膜の成膜方法および成膜装置
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10668511B2 (en) * 2018-03-20 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of cleaning process chamber
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
US11670551B2 (en) * 2019-09-26 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Interface trap charge density reduction

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4595775A (en) * 1984-04-06 1986-06-17 Petrarch Systems, Inc. N-methylhydridosilazanes, polymers thereof, methods of making same and silicon nitrides produced therefrom
JPS6251264A (ja) 1985-08-30 1987-03-05 Hitachi Ltd 薄膜トランジスタの製造方法
US4857140A (en) 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
US4992306A (en) 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
US4992299A (en) 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
AU662495B2 (en) 1990-09-11 1995-09-07 Procter & Gamble Company, The Improved process for obtaining highly esterified polyol fatty acid polyesters having reduced levels of difatty ketones and beta -ketoesters
JPH04365379A (ja) 1991-06-13 1992-12-17 Fuji Electric Co Ltd 薄膜トランジスタの製造方法
US5273920A (en) 1992-09-02 1993-12-28 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the gate dielectric/semiconductor layer interface
US5380566A (en) 1993-06-21 1995-01-10 Applied Materials, Inc. Method of limiting sticking of body to susceptor in a deposition treatment
JP2641385B2 (ja) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
DE69408405T2 (de) 1993-11-11 1998-08-20 Nissin Electric Co Ltd Plasma-CVD-Verfahren und Vorrichtung
WO1995018460A1 (en) 1993-12-27 1995-07-06 Kabushiki Kaisha Toshiba Thin film formation method
JP3571404B2 (ja) 1995-03-03 2004-09-29 アネルバ株式会社 プラズマcvd装置及びその場クリーニング後処理方法
US5831283A (en) * 1995-11-30 1998-11-03 International Business Machines Corporation Passivation of copper with ammonia-free silicon nitride and application to TFT/LCD
US6083852A (en) 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
JP3320685B2 (ja) 1999-06-02 2002-09-03 株式会社半導体先端テクノロジーズ 微細パターン形成方法
US6555183B2 (en) 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
JP3406250B2 (ja) 1999-08-30 2003-05-12 日本エー・エス・エム株式会社 窒化珪素系膜の成膜方法
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
JP3549188B2 (ja) 2000-03-27 2004-08-04 日本エー・エス・エム株式会社 半導体基板への薄膜成膜方法
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6468903B2 (en) 2000-11-15 2002-10-22 Asm International N.V. Pre-treatment of reactor parts for chemical vapor deposition reactors
US6583046B1 (en) 2001-07-13 2003-06-24 Advanced Micro Devices, Inc. Post-treatment of low-k dielectric for prevention of photoresist poisoning
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
WO2004057653A2 (en) * 2002-12-20 2004-07-08 Applied Materials, Inc. A method and apparatus for forming a high quality low temperature silicon nitride layer
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106048557A (zh) * 2011-06-03 2016-10-26 气体产品与化学公司 用于沉积碳掺杂含硅膜的组合物和方法
CN108140555A (zh) * 2015-10-22 2018-06-08 应用材料公司 沉积包含SiO及SiN 的可流动薄膜的方法
CN108140555B (zh) * 2015-10-22 2024-03-15 应用材料公司 沉积包含SiO及SiN的可流动薄膜的方法
CN115504477A (zh) * 2016-09-28 2022-12-23 美国陶氏有机硅公司 氯二硅氮烷
CN107342403A (zh) * 2017-06-09 2017-11-10 江永斌 含有氮化硅的纳米材料及其制备方法和用途
CN107342403B (zh) * 2017-06-09 2019-11-12 江永斌 含有氮化硅的纳米材料及其制备方法和用途
CN114540792A (zh) * 2017-07-05 2022-05-27 应用材料公司 氮含量高的氮化硅膜
CN110205606A (zh) * 2018-02-28 2019-09-06 株式会社国际电气 半导体器件的制造方法、衬底处理装置、及记录介质
CN110205606B (zh) * 2018-02-28 2021-10-15 株式会社国际电气 半导体器件的制造方法、衬底处理装置、及记录介质
CN113846311A (zh) * 2018-02-28 2021-12-28 株式会社国际电气 半导体器件的制造方法、衬底处理方法、衬底处理装置及记录介质

Also Published As

Publication number Publication date
WO2006138131A1 (en) 2006-12-28
TW200708628A (en) 2007-03-01
KR20080018921A (ko) 2008-02-28
TWI352744B (en) 2011-11-21
US20050255714A1 (en) 2005-11-17
JP2008547200A (ja) 2008-12-25
US7365029B2 (en) 2008-04-29
KR100943113B1 (ko) 2010-02-18
CN101228292B (zh) 2011-07-27

Similar Documents

Publication Publication Date Title
CN101228292B (zh) 氮化硅的化学气相沉积方法
US10741458B2 (en) Methods for depositing films on sensitive substrates
CN107429389B (zh) 形成含硅膜的组合物及其使用方法
CN101199044A (zh) 硅基电介质的化学气相沉积方法
KR100318978B1 (ko) 비스(3차부틸아미노)실란을이용한질화규소의화학증착방법
US6313035B1 (en) Chemical vapor deposition using organometallic precursors
KR102209817B1 (ko) 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들
US7547952B2 (en) Method for hafnium nitride deposition
KR100961805B1 (ko) 산화규소 함유 필름의 형성 방법
CN100567564C (zh) 形成高质量的低温氮化硅层的方法和设备
CN107533951A (zh) 使用表面封端化学性质的薄膜电介质的选择性沉积
EP1441042A1 (en) Precursors for depositing silicon containing films and processes thereof
CN104831254A (zh) 氮化硅膜的沉积方法
CN111373072B (zh) 含硅薄膜蒸镀用组合物及利用其的含硅薄膜的制造方法
TW201627520A (zh) 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
TWI680982B (zh) 作為高成長速率含矽膜的前驅物的官能化環矽氮烷
JP2018511585A (ja) アンモニア、アミンおよびアミジンによるカルボシランの触媒的脱水素カップリング
JP3915697B2 (ja) 成膜方法及び成膜装置
TWI246719B (en) Low temperature deposition of silicon nitride
WO2023230296A1 (en) Single wafer reactor, low temperature, thermal silicon nitride deposition

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110727

Termination date: 20160607