TWI248127B - Method for removing photoresist and etch residues - Google Patents

Method for removing photoresist and etch residues Download PDF

Info

Publication number
TWI248127B
TWI248127B TW092107618A TW92107618A TWI248127B TW I248127 B TWI248127 B TW I248127B TW 092107618 A TW092107618 A TW 092107618A TW 92107618 A TW92107618 A TW 92107618A TW I248127 B TWI248127 B TW I248127B
Authority
TW
Taiwan
Prior art keywords
ashing
bias
substrate
plasma
fluorine
Prior art date
Application number
TW092107618A
Other languages
English (en)
Other versions
TW200402791A (en
Inventor
Vaidyanathan Balasubramaniam
Masaaki Hagiwara
Eiichi Nishimura
Kouichiro Inazawa
Yasunori Hatamura
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200402791A publication Critical patent/TW200402791A/zh
Application granted granted Critical
Publication of TWI248127B publication Critical patent/TWI248127B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Description

1248127 玖、發明說明: 技術領域 本專利_請範圍具有優先,係相關於2002年9月3〇申請之 美國專利申請案系列第1 0/259,768號、第1 0/259,38 1號專利 申睛’上述各項申請案之完整内容經引用併入本案。本專 利中請係關於共同待審之國際專利申請案系列第 PCT/us〇2/xxxxx號、律師檔案第2276 1 8w〇號,標題為 「移除光阻及蝕刻殘餘物之方法」,與本案同日申請,其 完整内容經引用併入本案。 本發明係關於一種電漿處理,具體而言係關於在半導體 械加工中在蝕刻方法後清潔及移除光阻及蝕刻殘餘物。 兒袭處理系統係用於半導體、積體電路、顯示器及其它 二且或材料〈生產與加I,以從—基板(如I導體1板)移除 或向之沈積材料。利用半導體基板之電漿處理將積體電路 足圖案從微影蝕刻光罩轉印至該基板,或在該基板上沈積 介電或導電薄膜已成為該行業之標準方法。 /、 先前技術 土需要蝕刻各種類型之薄月! 安-挑戰,但仍然存在折中辦法。傳統上,介電層在 案化時留有開口,以沈積導電材料形成垂直接點。在圖 化乃法中,防蝕刻光阻層及/或硬光罩層係 之上:暴露於選定的圖案,並顯影。之後,在; 中钱刻該層化結構’其中該圖案化之綠層限定雪 之開口。 u 84503 1248127 在姓刻步驟德,、λ 、、 ^ # ^ A ,刻部位及處理室表面通常可見光阻 歹欠餘及敍刻殘餘物(如人 ,ρ X > ^ /旦)。電漿清潔(又稱為就地 ,,,η , 〜h在成功移除光阻殘餘及蝕刻殘餘 ,^ ^ 層已知系統使用一步式灰化方 /套’其中在灰化方法φ 奢中向基板她加之偏壓保持恆定。 介電層之I虫刻通常使用鹵萨齑 、、、 從J 口 ^工孔肢,如氧化物及含低k介電 材料之新土 SiOC。已知此等氣體在介電蝕刻方法中產生碜 氟化合物聚合物蝕刻殘餘物’其可沈積於處理室之内表面 以及基板之表面。 圖1顯示一步式灰化方法之示範性斷面圖。在自結構1〇〇 移除光阻1 06之傳統一步式灰化方法中,碳氟化合物聚合物 係從该處理室之各壁釋放/蝕刻(通常稱為儲存效應),並可 侵银下面的介電層104及覆蓋層1〇2(如SlN、SlC),導致該介 電層之108切面及覆蓋層損失11〇,有時甚至穿透該覆蓋層 102並侵蝕下面之導電層(如銅層)。由於處理室壁附近之石炭 氣化合物聚合物濃度南’晶圓邊、纟豕之此種效應可能非常高 。或者,該結構1 0 0也可能包含後氟化合物聚合物沈積物。 在傳統一步式灰化方法中,可使用含氫之電漿移除光阻 。為避免形成灰化後殘餘物,基板固定器上施加了 一定之 偏壓電源。在該方法中,先前介電飯刻時沈積於處理室壁 上之碳氟化合物聚合物沈積物也被蝕刻,在電漿中釋放氟 基物質。因該基板固定器上施加了偏壓,此等氟基物質可 侵蝕下面之介電薄膜,並消耗該覆蓋層。藉由降低偏壓或 施加零偏壓,可減少介電薄膜之侵蝕及覆蓋層之消耗,但 84503 1248127 仍存在灰化後殘餘物。
式灰化方法包括以下 ,射頻偏壓=150 W 可導致上述處理室問題之傳統一步 ^漿處理條件:處理室壓力=50 mT〇 ’〇2 流量比=200 SCC1I1。 在半導體生產中,該種傳統 室内進行,其中該處理室之内 可沈知先兩介電I虫刻方法之碳 該一步式灰化方法也可於已清 積物的處理室内進行。 發明内容 步式灰化方法通常於處理 表面(及待灰化之基板表面) 氟基聚合物沈積物。或者, 除先前蝕刻方法之聚合物沈 本發明之一目標係提供一 除光阻殘餘及蝕刻殘餘物, 式灰化方法減少。 種電漿處理方法,其自基板移 同時對周圍基板之侵|虫較一步 上述及其它目標係使用採用含氫氣體之處理氣體的一種 兩步就地電漿灰化方法實現。在第„灰化步驟中,安放基 板足基板固定器上施加了第一低或零偏壓位準,在第二灰 化步驟中則施加一第二偏壓位準。 , 在弟灰化步4中,遠基板上施加了低或零偏壓,兮其 板及處理室内表面/壁上的大量光阻殘餘及蝕刻殘餘物被從 該處理室蝕刻並移除,而對剩餘基板層之侵蝕減至最小。 在第一灰化步驟中,施加加大的偏壓,灰化方法繼續,直 到移除光阻殘餘及蝕刻殘餘物。 實施方式 本發明之一項具體實施例利用 一種兩步電漿灰化方法移 84503 1248127 的偏壓,灰化万法繼續,直到移除光阻及/或硬光罩殘餘物 與灰化後殘餘物。 除處理室之光阻殘餘及蝕刻殘 ’安放基板之基板固定器上施 處理室内表面/壁上由先前蝕刻 殘餘物被從該處理室蝕刻並移 減至最小。在該第二灰化步驟 餘物。在該第一灰化步驟中 加了零或低偏壓,該基板及 產生之大里光阻殘餘及银刻 除’而對剩餘基板層之侵|虫 中,基板固定器上施加加大 藉由至少滿足以下一項,本發明之兩步就地灰化方法可 減輕許多上述缺點:丨)使覆蓋層之消耗最小;2)使部件表面 之;丨逢切面/使I虫取小,並減少後鍅刻/關鍵尺寸 (cnUcal-dimension ; CD)偏壓;3)使後灰化殘餘物最少; 4)使就地灰化時對低|^介電薄膜的損害最小;以及5)提供自 動室乾燥清潔,藉此增加室清潔之間的平均時間。 圊一 員示兩步灰化方法I示範性斷面圖。在兩步含氫灰化 方法之該第一灰化步驟丨2〇中,晶圓上之光阻丨〇6以及室壁 與曰曰圓上沈積之碳氟化合物聚合物1 1 2均被|虫刻。因施加了 零或低偏壓,該介電層104之侵蝕及該覆蓋層102之消耗均 減至最小。在該第一灰化步驟! 2〇中,該處理室係乾燥的, 已清除任何聚合物殘餘物。該第一灰化步‘驟1 2〇之長度可基 於時間,可能在該基板上留下少量灰化後殘餘物n 〇及少量 光阻1 0 6。 在該第二灰化步驟13 〇中施加了一偏壓,灰化繼續進行,直 到足以移除任何灰化後殘餘物1 1 〇及任何剩餘之光阻丨〇6。 該兩步就地灰化方法之處理參數間隔可利用(例如)室壓 84503 1248127 20至1 000 mTorr、處理氣體流量比2〇至1〇〇〇 、在第一 灰化步騾中射頻偏壓小於約丨〇〇 w,在第二灰化步驟中射頻 偏壓大於約100 W。雖然如所說明使用了射頻偏壓,但也可 使用DC偏壓或以之代替射頻偏壓。此外,在灰化方法中室 壓係可變的。例如,第一步的室壓可能不同於到第二步的 主壓。而且’灰化方法中處理氣體之成份也可能發生變化 。例如’第一步之處理氣體(及處理氣體之不同氣體的流量 比)可能不同於第二步之處理氣體。可單獨使用一種處理氣 體如Η:或NH3,但也可與其他氣體結合使用(如惰性氣體, 如 He、Ar及 N2)。 或者’在兩步方法中,當實質上所有(但不是全部)聚合 物已從該室内移除時,可施加一偏壓,以增加輸出量,但 是此舉會重新導致傳統一步方法之某些效應。 而且雖然如上所述僅使用了單一偏壓,也可使用變化 的偏壓例如,在该第二步之前、之中或之後,該偏壓可 從0 w增加至100 w(連續或逐步增加,如每次增加1〇 w)。 如上所述,該第一步之持續時間應長到足夠從該室及晶 圓衣四芫全移除碳氟化合物材料。例如,該第—步之持績 時間可從20秒至50秒。同樣地,該第二步之持續時間應長 到足夠移除任何灰化後殘餘物及任何剩餘光阻。例如y… 第二步之持續時間可從20秒至50秒。 成 或者,在圖2《兩步灰化方法中,可利用終點偵測方、去石 足該第一步120及該第二步13〇之終點。偵測終點之—可= 万法為監測電漿區域所發射光譜之一部分。例 i °月匕 , 相示該 84503 -10 - 1248127 種移除之該光譜之此等部分的波長為482.5 nm (C〇)、775.5 nm (F)及440 nm (SiF4),可使用光學發射光譜學(〇ptlcal Emission Spectroscopy ; OES)測量。在對應於此等頻率之發 射位準超過一界定值(如下降到實質上為零或增加超過一特 疋位準)時,則視為該第一步結束。也可使用提供終點資訊 之其他波長。 在第一步結束後,該第二步最好使用5〇至200%的過度灰 化’以移除任何剩餘的灰化後殘餘物(p〇st-ash ;par) 。即’若該第一步在5 0秒内完成,則該第二步可進行2 5秒 之50%過度灰化及.50秒之1〇〇%過度灰化。藉由檢查以不同 私度過度灰化之系列基板,可根據經驗確定過度灰化之實 際量。 在灰化方法後,可對基板進行評估,如測量覆蓋層的損 失、介電側壁的損失及部件表面之介電切面/侵蝕。啟動所 需之灰化方法,同時使上述損失及侵蝕減至最小的處理條 牛了由直接貝,¾及/或貫驗设计(d e s i g η 〇 f e X p e r i m e n t s ; D〇E)決定。 在第一個示範例中,圖3顯示灰化方法中覆蓋層之損失。 復盍層損失120係以灰化方法後掃描電子顯微圖(scanning electron micr〇graph ; SEM)中顯示之覆蓋層ι〇2之疏化衡量 。啟動所需之灰化方法,同時使覆蓋層損失1 20減至最小的 處理條件可由直接實驗及/或實驗設計決定。 在第二項示範例中,圖4顯示介電側壁損失。例如,圖4 之;1弘側壁損失之測量係在暴露於HF溶液後,該介電層]〇4 84503 1248127 之表面130及中部132之被移除部分。圖5之結構進一步包括 一 SiN層1〇6與一 SlC層102。為測量側壁損失,可將電漿灰 化基板暴露於0.5% HF溶液5至3〇秒。隨後用基板的SEM分 析評估側壁損失。 一利用、、、;點偵測決定從處理室移除全部(或實質上全部)聚 :物I時間的範例,及藉由測量覆蓋層損失及側壁損失來 。平估灰化基板〈範例均在共同待審專利中請「移除光阻及 餘刻殘餘物之太、本 ,, 次」中有全面說明,該說明經引用全部併 入本文。 才艮據上述的命日日士 、 口月本^明可以作許多修改及變更。因此 應明白,在所附表 貫施本發明 圖式簡單說明 舍 專利申4範圍内,可不按本文之具體說 只她本發明。 本發明之較完敕 以上述詳細的說:m其中伴隨許多的優點已具· H ^ 伴隨參考附圖清楚地說明,其中: 圖2顯示兩步;:化方法之示範性斷面圖; 圖3顯示灰化方、、二法之示範性斷面圖; ® /中的覆蓋層損失;以及 圖式代表 符號說明 100 結構 102 覆蓋層 104 介電層 106 光1¾ 84503 ⑽貝;介電側壁損失。 1248127 108 切面 1 10 覆蓋 後灰 112 碳氟 120 第一 130 第二 介電 132 介電 層損失; 化殘餘物 化合物聚合物 灰化步驟 灰化步驟; 層表面 層中部 84503

Claims (1)

1248127 拾、申請專利範圍: 1. 一種就地灰化之方法,其包括: 使用一含氫氣體之一處理氣體; 在一電漿處理室内產生一電漿; 將一基板暴露於該電漿,該基板位於一基板固定器表 面; 藉由向該基板固定器施加一第一偏壓實施一第一灰 化步驟;以及 藉由向該基板固定器施加一第二偏壓實施一第二灰 化步驟,該第二偏壓大於該第一偏壓。 2. 如申請專利範圍第1項之方法,其中該含氳氣體至少包 括H2及NH3之一。 3 .如申請專利範圍第1項之方法,其中該含氫氣體包含H2。 4.如申請專利範圍第1項之方法,其中該處理氣體進一步 包括一種惰性氣體。 5 .如申請專利範圍第4項之方法,其中該惰性氣體至少包 括He、Ar及N2中的一種。 6. 如申請專利範圍第1項之方法,其中該處理氣體進一步 包括Ν2。 7. 如申請專利範圍第1項之方法,其中該處理氣體包括Η2 與Ν2及一惰性氣體中的至少一種。 8. 如申請專利範圍第1項之方法,其中該第一偏壓小於約 100 W,而該第二偏壓大於約100 W。 9. 如申請專利範圍第1項之方法,其中該第一偏壓小於約 84503 1248127 50 W。 1 〇.如申請專利範圍第1項之方法,其中該第一偏壓實質上 等於零。 11.如申請專利範圍第1項之方法,其中該第二偏壓大於 120 W。 1 2.如申請專利範圍第1項之方法,其中該第二灰化步驟進 一步包括在該第二灰化步驟中利用至少一個不同於該 第一灰化步騾的室壓及處理氣體流量比。 1 3 .如申請專利範圍第1項之方法,其中該第一灰化步驟進 一步包括: 债測自該電漿發出的光;以及 根據該發出的光決定該第一灰化步驟之狀況。 14.如申請專利範圍第13項之方法,其中該發出光之偵測提 供建立一終點之方法。 1 5 .如申請專利範圍第1 3項之方法,其中該發出光源自於一 被激動之光源,並代表該第一灰化步驟之狀態資訊。 1 6.如申請專利範圍第1 3項之方法,其中該發出光至少源自 於一含氧光源及一含氟光源之一。 17.如申請專利範圍第16項之方法,其中該含氟光源為氟。 1 8.如申請專利範圍第1項之方法,其中該第二灰化步驟進 一步包括: 偵測自該電漿發出的光;以及 根據該發出的光決定該第二灰化步驟之狀況。 19.如申請專利範圍第18項之方法,其中該發出光源自於一 84503 1248127 被激動之光源,並代表該第二灰化步驟之狀態資訊。 20.如申請專利範圍第丨9項之方法,其中該發出光至少源自 於一含氧光源及一含氟光源之一。 2 1.如申請專利範圍第20項之方法,其中該含氟光源為氟。 22 ·如申請專利範圍第1項之方法,其進一步包括: 偵測自該電漿發出的光;以及 根據該發出的光決定該等第一及弟一灰化步驟之狀 況。 23. 如申請專利範圍第22項之方法,其中該發出光源自—被 激動之光源,並代表該等第一及第二灰化步‘驟之狀態資 訊。 24. 如申請專利範圍第22項之方法,其中該發出光至少源自 一含氧光源及一含氟光源之一。 25. 如申請專利範圍第24項之方法,其中該含氟光源為氟。 2 6.如申請專利範圍第1項之方法,其中該第二灰化步·驟之 長度係介於該第一灰化步驟之長度的50%與300%之間。 27. 如申請專利範圍第1項之方法,其中該處理氣體之流量 比係介於2 0 s c c m及1 0 0 0 s c c m之間。 28. 如申請專利範圍第1項之方法,其中該含氫氣體之说重 比係介於2 0 s c c m及1 0 0 0 s c c m之間。 29·如申請專利範圍第4項之方法,其中該惰性氣體之成重 比係介於2 0 s c c m及1 0 0 0 s c c m之間。 3 0.如申請專利範圍第6項之方法,其中之流量比係介於 20 seem及 1000 seem之間。 84503 1248127 J1,如申請專利範圍第1項之方法,其中該第一灰化步驟之 该處理氣體的流量比係介於20 sccm& 1 000 sccin之間。 j2.如申請專利範圍第1項之方法,其中該第二灰化步驟之 該處理氣體的流量比係介於2〇 “⑽及1〇〇〇 sccm之間。 3 j .如申请專利範圍第1項之方法,其中該處理氣體之流量 比在該等第一與第二灰化步驟間發生變化。 34.如申請專利範圍第}項之方法,其中該處理室之壓力係 介於 20mT〇rr 與 lOOOmTon*之間。 3 5.如申請專利範圍第1項之方法,其中該第一灰化步驟中 該處理室的壓力係介於20 mTorr與1000 mTorr之間。 3 6.如申請專利範圍第1項之方法,其中該第二灰化+ 2 ^驟中 該處理室的壓力係介於20 mTorr與1 000 mTorr之間 3 7.如申請專利範圍第1項之方法,其中該處理室令 該等第一與第二灰化步騾間變化。 3 8. —種就地處理之方法,其包括: 使用含氫氣體之一處理氣體; 在一電漿處理室内產生一種電漿; 將一基板暴露於該電漿,該基板位於一基板固^ M疋器主 凊潔步 灰化歩 藉由向該基板固定器施加一第一偏壓實施〜 驟;以及 藉由向該基板固定器施加一第二偏壓實施 驟,該第二偏壓大於該第一偏壓。 84503
TW092107618A 2002-04-16 2003-04-03 Method for removing photoresist and etch residues TWI248127B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US37244602P 2002-04-16 2002-04-16
US10/259,381 US6849559B2 (en) 2002-04-16 2002-09-30 Method for removing photoresist and etch residues

Publications (2)

Publication Number Publication Date
TW200402791A TW200402791A (en) 2004-02-16
TWI248127B true TWI248127B (en) 2006-01-21

Family

ID=28794182

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092107618A TWI248127B (en) 2002-04-16 2003-04-03 Method for removing photoresist and etch residues

Country Status (7)

Country Link
US (1) US6849559B2 (zh)
JP (1) JP4422493B2 (zh)
KR (1) KR100702290B1 (zh)
CN (1) CN100388429C (zh)
AU (1) AU2003262407A1 (zh)
TW (1) TWI248127B (zh)
WO (1) WO2003090267A1 (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6599829B2 (en) * 1998-11-25 2003-07-29 Texas Instruments Incorporated Method for photoresist strip, sidewall polymer removal and passivation for aluminum metallization
US7169440B2 (en) * 2002-04-16 2007-01-30 Tokyo Electron Limited Method for removing photoresist and etch residues
US7098589B2 (en) 2003-04-15 2006-08-29 Luminus Devices, Inc. Light emitting devices with high light collimation
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
JP4558296B2 (ja) * 2003-09-25 2010-10-06 東京エレクトロン株式会社 プラズマアッシング方法
JP4749683B2 (ja) * 2004-06-08 2011-08-17 東京エレクトロン株式会社 エッチング方法
US7700494B2 (en) * 2004-12-30 2010-04-20 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
KR100666047B1 (ko) * 2005-01-03 2007-01-10 삼성전자주식회사 휴대용 무선단말기의 블루투스용 방사체를 갖는 내장형안테나 모듈
US7344993B2 (en) * 2005-01-11 2008-03-18 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
US20060196846A1 (en) * 2005-03-01 2006-09-07 Tokyo Electron Limited Plasma processing method and apparatus, and method for measuring a density of fluorine in plasma
US7288488B2 (en) * 2005-05-10 2007-10-30 Lam Research Corporation Method for resist strip in presence of regular low k and/or porous low k dielectric materials
US7279427B2 (en) * 2005-08-03 2007-10-09 Tokyo Electron, Ltd. Damage-free ashing process and system for post low-k etch
KR100711929B1 (ko) * 2005-11-02 2007-04-27 동부일렉트로닉스 주식회사 반도체 소자의 듀얼 다마신 배선 형성 방법
CN100514562C (zh) * 2006-09-18 2009-07-15 中国科学院半导体研究所 用于MEMS器件的大面积3C-SiC薄膜的制备方法
CN101211125B (zh) * 2006-12-25 2010-08-11 中芯国际集成电路制造(上海)有限公司 光刻胶的去除方法
CN101256976B (zh) * 2007-03-01 2010-09-01 联华电子股份有限公司 避免气泡缺陷的浅沟绝缘结构工艺及浅沟底部表面的处理
US7807579B2 (en) * 2007-04-19 2010-10-05 Applied Materials, Inc. Hydrogen ashing enhanced with water vapor and diluent gas
CN101308764B (zh) * 2007-05-15 2011-03-23 中芯国际集成电路制造(上海)有限公司 消除蚀刻工序残留聚合物的方法
JP2009049383A (ja) 2007-07-26 2009-03-05 Panasonic Corp 半導体装置の製造方法および半導体製造装置
US20120024314A1 (en) * 2010-07-27 2012-02-02 Axcelis Technologies, Inc. Plasma mediated ashing processes
CN102314099B (zh) * 2010-07-08 2013-07-31 中芯国际集成电路制造(上海)有限公司 去除晶片上的光刻胶层的方法
JP5685918B2 (ja) * 2010-12-10 2015-03-18 富士通株式会社 半導体装置の製造方法
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
JP5848626B2 (ja) * 2012-02-03 2016-01-27 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
WO2014014907A1 (en) 2012-07-16 2014-01-23 Mattson Technology, Inc. Method for high aspect ratio photoresist removal in pure reducing plasma
CN104183533A (zh) * 2013-05-21 2014-12-03 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
CN104701242B (zh) * 2013-12-05 2018-10-16 中芯国际集成电路制造(上海)有限公司 接触孔的刻蚀方法
CN108010839B (zh) * 2017-12-06 2021-08-06 信利(惠州)智能显示有限公司 薄膜晶体管与薄膜晶体管的制作方法及膜层刻蚀工艺
CN114823297B (zh) * 2022-04-19 2023-01-31 度亘激光技术(苏州)有限公司 光刻胶去除工艺及半导体制造工艺

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3122618B2 (ja) * 1996-08-23 2001-01-09 東京エレクトロン株式会社 プラズマ処理装置
US6536449B1 (en) * 1997-11-17 2003-03-25 Mattson Technology Inc. Downstream surface cleaning process
JP3102409B2 (ja) * 1998-04-30 2000-10-23 日本電気株式会社 配線の形成方法及びプラズマアッシング装置
US6380096B2 (en) 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6406836B1 (en) 1999-03-22 2002-06-18 Axcelis Technologies, Inc. Method of stripping photoresist using re-coating material
TW512448B (en) * 1999-05-11 2002-12-01 Applied Materials Inc Sequential sputter and reactive precleans of vias and contacts
US6492222B1 (en) 1999-12-22 2002-12-10 Texas Instruments Incorporated Method of dry etching PZT capacitor stack to form high-density ferroelectric memory devices
US6489030B1 (en) * 2000-04-14 2002-12-03 Honeywell International, Inc. Low dielectric constant films used as copper diffusion barrier
US6426304B1 (en) * 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6562700B1 (en) * 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6573175B1 (en) * 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features

Also Published As

Publication number Publication date
KR100702290B1 (ko) 2007-03-30
CN1653593A (zh) 2005-08-10
WO2003090267A1 (en) 2003-10-30
TW200402791A (en) 2004-02-16
AU2003262407A1 (en) 2003-11-03
KR20050000386A (ko) 2005-01-03
JP4422493B2 (ja) 2010-02-24
CN100388429C (zh) 2008-05-14
US6849559B2 (en) 2005-02-01
US20030194876A1 (en) 2003-10-16
JP2005523585A (ja) 2005-08-04

Similar Documents

Publication Publication Date Title
TWI248127B (en) Method for removing photoresist and etch residues
JP5085840B2 (ja) フォトレジストおよびエッチング残渣の除去方法
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
KR101226297B1 (ko) 포토레지스트 및 에칭 찌꺼기를 저압 제거하는 애싱 방법
US20080286979A1 (en) Method of controlling sidewall profile by using intermittent, periodic introduction of cleaning species into the main plasma etching species
JPH0665753B2 (ja) プラズマエッチングしたアルミニウム膜のエッチング処理後の侵食を防止するプラズマパッシベ−ション技術
JP4911936B2 (ja) プラズマアッシング方法
JP2014090192A (ja) 通常の低k誘電性材料および/または多孔質の低k誘電性材料の存在下でのレジスト剥離のための方法
US20050066994A1 (en) Methods for cleaning processing chambers
US20050106875A1 (en) Plasma ashing method
JP2005116801A (ja) 半導体装置の製造方法
KR100586758B1 (ko) Tera 경질 마스크 물질을 위한 계내 플라즈마 에치
JP2003023072A (ja) 半導体装置の製造方法および半導体装置の製造装置
TW200408004A (en) Method for controlling etch bias of carbon doped oxide films
Gorowitz et al. Reactive ion etching
JP2003059911A (ja) 半導体装置の製造方法
JP2005129946A (ja) ハードマスクのポストプラズマ洗浄プロセス
JP2007251034A (ja) プラズマ処理方法
JP2004319917A (ja) 絶縁膜除去方法および配線構造形成方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees