TWI243861B - Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures - Google Patents

Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures

Info

Publication number
TWI243861B
TWI243861B TW090130319A TW90130319A TWI243861B TW I243861 B TWI243861 B TW I243861B TW 090130319 A TW090130319 A TW 090130319A TW 90130319 A TW90130319 A TW 90130319A TW I243861 B TWI243861 B TW I243861B
Authority
TW
Taiwan
Prior art keywords
group
scope
patent application
item
acid
Prior art date
Application number
TW090130319A
Other languages
English (en)
Inventor
William A Wojtczak
Fatima Ma Seijo
Long H Nguyen
David D Bernhard
Original Assignee
Advanced Tech Materials
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Tech Materials filed Critical Advanced Tech Materials
Application granted granted Critical
Publication of TWI243861B publication Critical patent/TWI243861B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2072Aldehydes-ketones
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/264Aldehydes; Ketones; Acetals or ketals
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/266Esters or carbonates
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3263Amides or imides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3272Urea, guanidine or derivatives thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5009Organic solvents containing phosphorus, sulfur or silicon, e.g. dimethylsulfoxide
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5013Organic solvents containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
    • C23G5/02Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents using organic solvents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Physics & Mathematics (AREA)
  • Emergency Medicine (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Led Devices (AREA)

Description

1243861 五、發明說明(1) 相關申請案之交互參照 本申請案係1999年8月20曰提出申請之美國專 No· 0 9 / 3 3 1,5 3 7之部分延續,此美國專利申3請申請案 0 9/33 1,537係以1 9 97年12月23日接中φ嗜+二木N〇· VC T明 < 國東 案No· PCT/US9 7/23 9 1 7為主並主張其之優先^ τ寻利申請 指定為選定聲明。 *’及將美國 發明之背景 發明之領媸 本發明大致係關於用於半導體晶圓製造之與 尤其係關於被利用於在光阻劑電漿灰化步驟,配方,及 除殘渣之化學配方。更明確言之’本發^係^ =自晶圓移 細銅互連結構之半導體晶圓移除無機殘渣之主^自包含精 先前技藝之説明 π冷配方。 組成物。此種配方伴隨有包括金屬或 =合劑之 及促進期望金屬層’尤其係銅或鋼合金部ς =不期望 點。 77 <腐麵的 -些此等配方使用腐#抑制添 中之不期望的銅金屬腐蝕。然而, :j在清潔過鞋 典型上由於此等添加劑會盥殓、、杏丄 〈屬蝕抑制添加劑 潰於清潔流體中之溶解/而ί:ί:互作用’及抑制此種殘 L ^ 、 辟而對清潔過程具有不利的影響。 此外,習知之添加劑於清潔 /月/糸過程完成後並不易自銅表面沖 習慣上在光阻劑灰化㈣之後,使用 除殘渣及清潔晶圓。此等化學配方中之—此2子配方來移 或氫氧化四烷基銨、水及/或其他溶劑、ς匕括含鞍及/ 性 移除 缺 组成物。,t卜.錄Β?·古座陡士 a,』. 甜合劑< &
!243861 五、發明說明(2) 洗掉,且其之存在會造成積體電路 ^會使受污染區域之電阻不利地提 之不可預測的傳導故障。 因此,本發明之一目的為提供於 有效移除殘渣之化學配方。 另一目的為提供不會侵姓應使其 屬結構及使其潛在地降解之晶圓清 本發明之又另一目的為提供一種 板上之銅結構之改良腐蝕抑制劑的 抑制劑係於殘渣移除程序完成後易 洗掉,因而可降低晶圓基板上之積 成物形態。 本發明之其他目的及優點將可由 之申請專利範圍而完全明瞭。 之概述 本發明係關於具有,例如,在電 中用於清潔半導體晶圓之效用的半 在一態樣中,本發明係關於_種 灰化步驟之後自此種晶圓移除殘洁 與包括下列成份之清潔配方接觸^ (iii)含氮羧酸或亞胺,(iv) i 3一 (v)極性有機溶劑,及視需要包括 甜合劑。 之污染。積體電路之污 高,並造成電路系統内 光阻劑灰化步驟之後可 殘留於晶圓上之精細金 潔配方。 包括用於保護半導體基 晶圓清潔配方,此腐雀虫 被水或其他滌洗介質沖 體電路系統之污染的組 隨後之揭示内容及隨附 漿灰化後之半導體製造 導體晶圓清潔配方。 在晶圓上之光阻劑電漿 之方法,其包括使晶圓 (i)有機胺,(i i)水, 二幾基钳合化合物’ v i)至少一其他的金屬 本發明之另-態樣係關於—種晶圓清潔配方,其包括
\\312\2d^code\91-03\90130319.ptd $ 6頁 !243861
有機胺,(ii)水,(iii)含氮羧酸或亞胺,(b) 1,3_ 二羰基鉗合化合物,(v)極性有機溶劑,及視需要包括 、v 1 )至少一其他的金屬鉗合劑。 在再-態樣巾’本發明係關於一種使用於電漿灰化後之 旦導體製造中之半導體晶圓清潔配方,其包括在所示之重 里百分比(以配方之總重量計)範圍内之下列成份: 有機胺 。。 本發明之配方於電漿灰化步驟 >查’尤其係金屬鹵化物及金屬氧 之使用過程中亦可顯著地降低不 圓上之銅金屬結構的移除。 水 1,3 ~二羰基化合物鉗合劑 額外的不同钳合劑 含氮羧酸或亞胺 塵性有爐溶劍__ 總計 0-50% 0 . 1 - 6 0 % 0-25% 0.5-40% 2-98°/〇 10 0% 之後可有效地移除無機殘 化物殘渣。此種配方在其 期望的腐蝕或在半導體晶
本發明之配方於殘渣移除程序之 良:產生之微電子裝置產品的品質。 本舍明之其他特辨Θ彳爲赴g交 附之申請專利範圍^。炎” ”明於隨後之揭示内容及隨 故j圭具體說明 本%明係關於適用於將由跟命 度電漿蝕刻所產生機B =用3虱电I灰化之咼密 王之無機日日a殘渣移除之配方。
1243861 五、發明說明(4) 化合物及/或其他金屬鉗 水或其他溶劑作為主成 此配方有利地包含1,3 -二鑛基 合劑、含氮羧酸或亞胺、胺、及 份。 較佳的配方包括在所示之重量百分比(以配方之總重量 計)範圍内之下列成份: 2-98% 0-50% 0. 1-60% 0-25% 0. 5-40% 2 - 9 8 % 有機胺 水 1,3 -二羰基化合物鉗合劑 額外的不同鉗合劑 含氮羧酸或亞胺 極性有機溶劑_ 100% 熟悉技藝人士所明瞭之任 成份之明確的說明性及較 的成份係以上述所指示之 的重量百分比濃度來表 5 - 9 5 % 5-95% 總計 如前所述之配方的成份可為如 何適當類型或種類。將配方之各 佳配方成份說明於下,其中明確 總重量計之,其以配方中之較佳 示。 較佳的胺包括: 五曱基二伸乙三胺(PMDETA) 三乙醇胺(TEA) 其他高度有利的胺包括: 單乙醇胺 二甘醇胺 二吖雙環(2 · 2 · 2 )辛烷
\\312\2d-code\91-03\90130319.ptd 第8頁 1243861 五、發明說明(5) 二伸乙三胺 3, 3’ -亞胺雙(N,N-二曱基丙胺) N-曱基咪唑 四伸乙五胺 三伸乙四胺 三甲氧乙氧乙胺 二乙醇胺 甲基二乙醇胺 四甲基己二胺 N,N-二乙基乙醇胺 化合物甜合劑包括: 2-90% 明確的較佳1,3 -二羰 2,4 _戊二酉同 2-90% 1 5 - 7 0 % 10-48.3% N,N -二甲基乙醯乙醯胺 乙醯乙酸曱酯 丙二酸二甲酯 其他高度有利的1,3-二羰基化合物包括 N -甲基乙醯乙醯胺 乙醯乙醯胺 丙二醢胺 較佳的含氮羧酸或亞胺包括: 0. 5-2. 5°/〇 0. 5-2. 5% 0. 5-2. 5°/〇 0. 5-2. 5°/〇 亞胺二乙酸 甘氨酸 氮基三乙酸 1,1,3,3 -四曱脈
\\312\2d-code\91-03\90130319.ptd 第9頁 1243861 五、發明說明(6) 其他高度有利的含氮羧酸或亞胺包括 CH3C( = NCH2CH20H)CH2C(0)N(CH3)2 CH3C(=NCH2CH20CH2CH20H)CH2C(0)N(CH3), CH3C(-NH)CH2C(0)CH3 (CH3CH2)2NC( = NH)N(CH3CH2)2 HOOCCH2N(CH3)2
HOOCCH2N(CH3)CH2COOH 較佳的溶劑包括: 0-50% 0-74% 0 - 4 9 % 0-10% 水 乙二醇 N -甲基p比洛咬酮(Ν Μ P ) 四氫嚷吩石風 或可 視需要可利用於本發明之一些配方中之教佳的第 選擇的鉗合劑包括: 咄咯啶二硫基胺基甲酸銨 0-25% 胺基甲酸銨 0-15% 草酸鈹 0-15% 硫鼠酸錢 0-15% 硫代硫酸敍 0-15% 三氟乙酸 0-12% 利用包含1,3 -二羰基化合物及/或其他金屬鉗合劑與胺 及溶劑結合之配方可達成技藝的獨特改良。本發明之配方 提供較習知之包含兒茶酚、胺、及溶劑之晶圓移除配方佳 的移除性能及低的腐#性。
\\312\2d-code\91-03\90130319.ptd 第10頁 1243861 五、發明說明(7) 缓:或亞胺係本發明之另—有二一' 示,在殘ΐ ΐ含特別吸引游離銅原子的官-二改良。含氮 制移除過程中與銅表面接觸如圖1所 清潔心附著至鋼表面’並形成保護屑,而鋼特異之腐蝕抑 =劑Α4Χ-腐钱。此外,如圖隻層,而防止銅表面被 Ρ制劑C可容易地被去離 他’、此種對鋼特異之腐 因此,其於清潔操作完成後,在铜#他條洗介質沖洗掉, 除了明確舉出者外,本發明之配上留下極少污染。 :其有機㉟、甜合劑、及含氮竣酸:::括種類繁多的溶 =基化合物及適當特性之相關化人.胺。特殊的1,3-二 合物: 〇物包括如下化學式之化 X-CHR-γ 其中R為氫原子或脂族基團, 烯基等等,X及Υ係彼此相同或不D,’ C^C8烷基、芳基、 之包含多重鍵結基團的官能基,二,且為具有拉電子性質 ⑽R,R,、CN、N〇2、s〇R,、或s〇 ^如,C〇NH2、C0NHR,、 不同,且代表。,。烷基,及z代2夺a其中R’及R”係相同或 如,氫、_基或Ci - c8院基。原子或基團,例 具有廣泛實行本發明之效用之 化學式之化合物: 其他的含氮羧酸包括如下 COOH - CH2-NRR, 酸其中各R及R’係分別選自包括氫、烧基、芳基、及叛 可將除明確說明於上之外的胺基甲酸鹽及二硫基胺基甲 \\312\2d-code\91-03\90130319.ptd $ 11頁 1243861 五、發明說明(8) 酸二烷酯使 可使用各 合使用。 本發明之 定最終應用 劑、腐蝕抑 本發明之 再經氧電漿 型上包含金 不造成有效 本發明之 地展示。 實施例1 於具有以 測試包括含 表1 用作為廣泛實行本發 種其他的極性有機溶 配方視需要亦可包括 或此最終應用所期望 制劑、缓衝劑、及共 配方特別有利於經含 灰化之晶圓。由此類 屬氧化物。通常很難 装置性能所需之金屬 特徵及優點由以下之 下成份及特性之兩不 氮緩酸或亞胺之對銅 配方 WT2
Wmz.mzmm 胺、及水 化銨、三乙醇胺1 甲蕋二伸乙三胺、及水 70 40 利用標準的四點探針技術測定 示,加入根據本發明之腐蝕抑制 率’並有效地防止在清潔過程中
\\312\2d-code\91-03\90130319.ptd 明之鉗合劑。 劑’例如’單獨或與水混 有利於本發明之配方之預 之諸如表面活性劑、安定 溶劑之成份。 氣或含氟電漿蝕刻,隨後 型之處理所產生之殘渣典 將此等殘渣完全溶解,而 部份的腐蝕。 非限制性實施例作更完全 同類型的鹼性清潔配方中 特異之腐蝕抑制劑。 銅蝕刻速率 (埃/分鐘) 鋼蝕刻速率。如由下表戶j 劑可顯著地減緩銅餘刻 之不期望的腐餘:
第12頁 1243861 五、發明說明(9) 腐蝕抑制劑 溫度 (°C) 使 用 配 方 濃度 (%) 溶液 之 pH 銅蝕刻 速率 (埃/分 鐘) 蝕刻速率 之降低 (%) 亞胺二乙酸 40 2 1.5 8.0 1-2 -73.3 〜86· 7 甘氨酸 40 2 1.5 9.2 3.6 -52.0 氮基三乙酸 40 2 1.5 8.2 3.6 -52.0 1,1,3,3-四甲胍 40 2 1.5 8.7 3.4 -54.7 CH3C(=NCH2CH2〇H)CH2 C(0)N(CH3)2 70 1 24 10.9 6.2 -64.4 CH3C(=NCH2CH2OCH2CH2OH) ch2c(o)n(ch3)2 70 1 36 10.7 0.32 -98.2 CH3C(=NH)CH2C(0)CH3 40 2 13.68 7.9 4.4 -41.3 表2 實施例2 於包含亞胺二乙酸抑制劑之配方2上進行污染試驗。待 清潔之半導體晶圓包含銅及矽薄膜。於清潔操作完成後, 以2 5 °C之去離子水滌洗晶圓約1 5分鐘。測得的第二離子質 量光譜術數據(S I M S )如下:
Cu(原子/cm2) F(原子/cm2) C源子/cm2) CuxO(埃) 未淸潔晶圓 1.6x1 Ο10 3.3χ]Ο13 7.5xl013 42 經淸潔晶圓 8.5x1 Ο9 5.1 χ 1 0 13 ].5x1 Ο13 15 前述之結果顯示氧化銅C ux 0已被清潔方法有效地移除
\\312\2d-code\91-03\90130319.ptd 第13頁 1243861 五 發明說明α〇) 主要由清潔配方中之有機腐蝕 、、^、 大大地降低。 劑所造成之咬、'一 明 明 =:f發明已經參照特定的特徵、 木則 =二:應明瞭本發明並不因此及具體例而說 可以各式各樣的組成物、相對的成份=1此,本發 而相應地具體實現。因此,應明瞭本發明〜二及最終用途 出專利申請之本發明之精神及範圍内2 =盍在如後文提 改及替代的具體例。 R有此等變化、修
第14頁
\\312\2d-code\91-03\90130319.ptd 1243861 圖式簡單說明 圖1係有用於概括實行本發明之對銅特異之腐蝕抑制劑 的概略圖式,此腐^虫抑制劑在銅金屬上形成保護層而防止 腐蚀。 圖2係被去離子水自銅表面沖洗掉之對銅特異之腐蝕抑 制劑的概略圖式。
\\312\2d-code\91-03\90130319.ptd 第 15 頁

Claims (1)

1243861 六、申請專利範圍 丨 1. 一種半導體晶圓之清潔配方,係使用於電漿灰化後之 半導體製造中,其包括在所示之重量百分比(以配方之總 重量計)範圍内之下列成份: 有機胺 水 1,3-額外 含氮 極性 二幾基化合物钳合劑 的不同鉗合劑 羧酸或亞胺 有機溶劑_ 2-98% 0 - 5 0 % 0.1-60% 0-25% 0. 5-40% 2-98% 總計 2.如 包括選 五甲 三乙 3 ·如 羰基化 2, 4-N,N- 乙醯 丙二 4 ·如 或亞胺 亞胺 甘氨 100% ° 申請專利範圍第1項之清潔配方,其中,該有機胺 自包括下列之化合物: 基二伸乙三胺(PMDETA) 5-95% 醇胺(TEA) 5-95%。 申請專利範圍第1項之清潔配方,其中,該1,3 -二 合物鉗合劑包括選自包括下列之化合物: 戊二酮 2-90% 二曱基乙醯乙醯胺 2-90% 乙酸甲酯 15-70% 酸二甲酯 10-48.3% 。 其中該含氮羧酸 0. 5-2. 5% 0.5-2.5% 申請專利範圍第1項之清潔配方 包括選自包括下列之化合物: 二乙酸(IDA) 酸
\\312\2d-code\91-03\90130319.ptd 第16頁 1243861 六、申請專利範圍 氮 基 二 乙 酸 (NTA) 0· 5 - 2 · 5°/〇 1, 1, 3, 3- 四 曱 胍(TMG) 0· 5-2. 5% ° 5. 如 中 請 專 利 範 圍第1項之清潔配方, 其中 ,該 極性 有 機溶 劑 包 括 選 包 括下列之溶劑種類: 乙 二 醇 0- 74% N - 甲 基 口比 咯 啶 酉同 (NMP) 0- 49% 四 氫 噻 吩 砜 0- 10% 〇 6. 如 中 請 專 利 範 圍第1項之清潔配方, 其中 ,該 額外 的 不同 鉗 合 劑 包 括 選 自包括下列之種類: 吼 咯 啶 二 疏 基 胺 基甲酸銨 0- 25% 胺 基 甲 酸 锻 0- 15% 草 酸 銨 0- 15% 硫 氰 酸 鈹 0 - 15% 硫 代 硫 酸 銨 0- 15% 二 氟 乙 酸 0 - 12% ( 3 7. 如 中 請 專 利 範 圍第1項之清潔配方, 其中 ,該 有機 胺 包括選自包括下列之種類: 單乙醇胺 二甘醇胺 五曱基二伸乙三胺(PMDETA) 三乙醇胺(TEA) 二吖雙環(2· 2· 2)辛烷 二伸乙三胺 3, 3’ -亞胺雙(N,N-二甲基丙胺)
\\312\2d-code\91-03\90130319.ptd 第17頁 1243861 六、申請專利範圍 N -曱基咪嗤 四伸乙五胺 三伸乙四胺 三曱氧乙氧乙胺 二乙醇胺 曱基二乙醇胺 四甲基己二胺 N,N -二乙基乙醇胺。 8.如申請專利範圍第1項之清潔配方,其中,該1,3 羰基化合物鉗合劑包括選自包括下列之化合物: 酮 4-戊 乙醯乙酸甲酯 丙二酸二曱酯 N -甲基乙醯乙醯胺 N,N -二曱基乙醯乙醯胺 乙醯乙醯胺 丙二醯胺。 其中,該含氮羧 9.如申請專利範圍第1項之清潔配方 酸或亞胺係選自包括: 亞胺二乙酸(IDA) 甘氨酸 氮基三乙酸(NTA) 1,1,3, 3-四甲胍(TMG) CH3C(=NCH2CH20H)CH2C(0)N(CH3)2
\\312\2d-code\91-03\90130319.ptd 第18頁 1243861 六、申請專利範圍 CH3C(-NCH2CH20CH2CH20H)CH2C(0)N(CH3)2 CH3C(=NH)CH2C(0)CH3 (CH3CH2)2NC( = NH)N(CH3CH2)2 HOOCCH2N(CH3)2 hoocch2n(ch3)ch2cooh。 1 0 .如申請專利範圍第1項之清潔配方,其中,該有機胺 包括選自包括下列之化合物: 五甲基二伸乙三胺(PMDETA) 5-95% 三乙醇胺(TEA) 5-95% 該1,3 -二羰基化合物鉗合劑包括選自包括下列之化合物: 2-90% 2-90% 15-70% 10-48. 3% 2,4 -戊二酮 N,N -二甲基乙醯乙醯胺 乙醯乙酸曱酯 丙二酸二曱酯 該含氮羧酸或亞胺包括選自包括下列之化合物 0· 0· 0· 0. -2. 5°/〇 -2. 5% -2· 5% -2. 5°/〇 亞胺二乙酸(IDA) 甘氨酸 氮基三乙酸(NTA) 1,1,3, 3-四甲胍(TMG) 及該極性有機溶劑包括選自包括下列之溶劑種類: 0-74% 0-49% 0-10% 乙二醇 N-曱基咄咯啶酮(NMP) 四氫p塞吩硬 1 1.如申請專利範圍第1項之清潔配方,其包括化學式如
\\312\2d-code\91-03\90130319.ptd 第19頁 1243861 六、申請專利範圍 下之鉗合劑: X-CHR-Y ,其中 R為鼠或脂族基團’及 X及Y為具有拉電子性質之包含多重鍵結基團的官能基。 1 2.如申請專利範圍第11項之清潔配方,其中,各X及Y 係分別選自,CONH2、CONHR’、CONR’ R”、CN、N02、SOR’、及 S02Z,其中R’及1^’為烷基,及Z為氫、鹵基、或烷基。 1 3.如申請專利範圍第1項之清潔配方,其中,該含氮羧 酸具有以下之化學式: COOH-CH2-NRR, 其中,各R及R’係分別選自包括氫、烷基、芳基、及羧 酸。 1 4. 一種半導體晶圓之製造方法,包括下列之步驟: 自晶圓之表面電漿I虫刻金屬化層; 自晶圓之表面將光阻劑電漿灰化; 利用包括在所示之重量百分比(以配方之總重量計)範圍 内之下列成份的半導體晶圓清潔配方來清潔晶圓· 2-98% 0-50% 0 · 1 - 6 0 % 0-25% 0. 5-40% 2-98% 100% 有機胺 水 1,3 -二幾基化合物甜合劑 額外的不同鉗合劑 含氮羧酸或亞胺 極性有機溶劑_ 總計
\\312\2d-code\91-03\90130319.ptd 第20頁 1243861 六、申請專利範圍 1 5.如申請專利範圍第1 4項之製造方法,其中,該有機 胺包括選自包括下列之化合物: 五曱基二伸乙三胺(PMDETA) 5-95% 三乙醇胺(TEA) 5-95%。 1 6.如申請專利範圍第1 4項之製造方法,其中,該1,3 -二羰基化合物鉗合劑包括選自包括下列之化合物: 2-90% 2-90% 15-70% 10-48.3% 2,4 -戊二酮 N,N -二曱基乙醯乙醯胺 乙醯乙酸甲酯 丙二酸二甲酯 1 7.如申請專利範圍第1 4項之製造方法,其中,該含氮 羧酸或亞胺包括選自包括下列之化合物: 0· 0· 0· 0· -2. 5°/〇 -2. 5°/〇 -2. 5% -2. 5% 亞胺二乙酸(IDA) 甘氨酸 氮基三乙酸(NTA) 1,1,3, 3-四曱胍(TMG) 1 8.如申請專利範圍第1 4項之製造方法,其中,該極性 有機溶劑包括選自包括下列之溶劑種類: 乙二醇 0-74% N-甲基咄咯啶酮(NMP) 0-49% 四氮^塞吩石風 0-10%。 1 9.如申請專利範圍第1 4項之製造方法,其中,該額外 的不同鉗合劑包括選自包括下列之化合物: 25% 咄咯啶二硫基胺基甲酸銨
\\312\2d-code\91-03\90130319.ptd 第21頁 1243861 六、申請專利範圍 胺基甲酸銨 0-15% 草酸錢 0-15% 硫氰酸銨 0-15% 硫代硫酸銨 0-15% 三氟乙酸 0 - 1 2 %。 2 0.如申請專利範圍第1 4項之製造方法,其中,該有機 胺包括選自包括下列之化合物: 五曱基二伸乙三胺(PMDETA) 單乙醇胺 二甘醇胺 三乙醇胺(TEA) 二吖雙環(2 · 2 · 2 )辛烷 二伸乙三胺 3, 3’ -亞胺雙(N,N-二曱基丙胺) N -曱基117米峻 四伸乙五胺 三伸乙四胺 三甲氧乙氧乙胺 二乙醇胺 曱基二乙醇胺 四曱基己二胺 N,N -二乙基乙醇胺。 2 1.如申請專利範圍第1 4項之製造方法,其中,該1,3-二羰基化合物鉗合劑包括選自包括下列之化合物:
\\312\2d-code\91-03\90130319.ptd 第22頁 1243861 六、申請專利範圍 2,4 -戊二酮 N,N -二甲基乙醯乙醯胺 乙醯乙酸甲酯 丙二酸二曱酯 N -甲基乙醯乙醯胺 乙醢乙隨胺 丙二醯胺。 其中,該含氮 2 2.如申請專利範圍第1 4項之製造方法 羧酸或亞胺包括選自包括下列之化合物: 亞胺二乙酸(IDA) 甘氨酸 氮基三乙酸(NTA) 1,1,3, 3-四甲胍(TMG) CH3C(=NCH2CH20H)CH2C(0)N(CH3)2 CH3C(=NCH2CH20CH2CH20H)CH2C(0)N(CH3)2 CH3C(=NH)CH2C(0)CH3 (CH3CH2)2NC( = NH)N(CH3CH2)2 HOOCCH2N(CH3)2 _cch2n(ch3)ch2cooh。 2 3.如申請專利範圍第1 4項之製造方法,其中,該有機 胺包括選自包括下列之化合物: 五甲基二伸乙三胺(PMDETA) 5-95% 三乙醇胺(TEA) 5-95% 該1,3 -二裁基化合物钳合劑包括選自包括下列之化合物:
\\312\2d-code\91-03\90130319.ptd 第23頁 1243861 六、申請專利範圍 2, 4-戊二 2-90% 2 - 9 0 % 15-70% 10-48.3% 酮 N,N -二曱基乙醯乙醯胺 乙醯乙酸甲酯 丙二酸二甲酯 該含氮羧酸或亞胺包括選自包括下列之化合物: 0. 5-2. 5% 0. 5-2. 5% 0. 5-2. 5°/〇 0. 5-2. 5% 亞胺二乙酸(IDA) 甘氨酸 氮基三乙酸(NTA) 1,1,3, 3-四甲胍(TMG) 及該極性有機溶劑包括選自包括下列之化合物: 0-74% 0-49% 0-10% 乙二醇 N-曱基咄咯啶酮(NMP) 四氫11塞吩石風 2 4.如申請專利範圍第1 4項之製造方法,其中,該配方 包括化學式如下之鉗合劑: X-CHR-Y ,其中 R為氫或脂族基團,及 X及Y為具有拉電子性質之包含多重鍵結基團的官能基。 2 5.如申請專利範圍第24項之方法,其中,各X及Y係分 另丨J 選自 C0NH2、CONHR’、CONR’ Rn、CN、N02、SOR’、及 S02Z,其中R’及!^為烷基,及Z為氫、鹵基、或烷基。 2 6.如申請專利範圍第1 4項之製造方法,其包括化學式 如下之含氮羧酸: C00H - CH9-NRR,
\\312\2d-code\91-03\90130319.ptd 第24頁
TW090130319A 2000-12-08 2001-12-07 Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures TWI243861B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/732,370 US6344432B1 (en) 1999-08-20 2000-12-08 Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures

Publications (1)

Publication Number Publication Date
TWI243861B true TWI243861B (en) 2005-11-21

Family

ID=24943259

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090130319A TWI243861B (en) 2000-12-08 2001-12-07 Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures

Country Status (9)

Country Link
US (2) US6344432B1 (zh)
EP (1) EP1349969B1 (zh)
JP (1) JP4091433B2 (zh)
KR (1) KR100890418B1 (zh)
CN (1) CN1244719C (zh)
AT (1) ATE367460T1 (zh)
DE (1) DE60129465T2 (zh)
TW (1) TWI243861B (zh)
WO (1) WO2002057513A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI617705B (zh) * 2014-07-14 2018-03-11 慧盛材料美國責任有限公司 銅腐蝕抑制系統

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6755989B2 (en) * 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6896826B2 (en) * 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6566315B2 (en) * 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US20030119692A1 (en) * 2001-12-07 2003-06-26 So Joseph K. Copper polishing cleaning solution
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
GB2401604A (en) * 2003-05-10 2004-11-17 Reckitt Benckiser Nv Water-softening product
US20060141157A1 (en) * 2003-05-27 2006-06-29 Masahiko Sekimoto Plating apparatus and plating method
US7241725B2 (en) * 2003-09-25 2007-07-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Barrier polishing fluid
US7205235B2 (en) * 2003-12-15 2007-04-17 Freescale Semiconductor, Inc. Method for reducing corrosion of metal surfaces during semiconductor processing
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US20060196525A1 (en) * 2005-03-03 2006-09-07 Vrtis Raymond N Method for removing a residue from a chamber
CN102981377B (zh) 2005-06-07 2014-11-12 高级技术材料公司 金属和电介质相容的牺牲性抗反射涂层清洗及去除组合物
US8387824B2 (en) * 2005-07-02 2013-03-05 Syngenta Participations Ag Apparatuses and methods for bulk dispensing
EP1932174A4 (en) * 2005-10-05 2009-09-23 Advanced Tech Materials AQUEOUS OXIDIZING CLEANER FOR REMOVING RESIDUES AFTER A PLASMA ATTACK
JP2010503977A (ja) * 2006-04-26 2010-02-04 アドバンスト テクノロジー マテリアルズ,インコーポレイテッド 半導体処理システムの洗浄方法
US20080142039A1 (en) * 2006-12-13 2008-06-19 Advanced Technology Materials, Inc. Removal of nitride deposits
TWI449784B (zh) * 2006-12-21 2014-08-21 Advanced Tech Materials 用以移除蝕刻後殘餘物之液體清洗劑
US20100112728A1 (en) * 2007-03-31 2010-05-06 Advanced Technology Materials, Inc. Methods for stripping material for wafer reclamation
CN101785087A (zh) * 2007-08-22 2010-07-21 大金工业株式会社 半导体干式工艺后的残渣除去液和使用该残渣除去液的残渣除去方法
CN101815811A (zh) * 2007-09-06 2010-08-25 Ekc技术公司 用来处理铜表面的组合物和方法
EP2248153B1 (en) 2008-02-11 2016-09-21 Entegris, Inc. Ion source cleaning in semiconductor processing systems
CN102044407B (zh) * 2009-10-20 2012-04-18 中芯国际集成电路制造(上海)有限公司 芯片的清洗方法
US8101561B2 (en) 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
US8128755B2 (en) 2010-03-03 2012-03-06 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Cleaning solvent and cleaning method for metallic compound
JP2012021151A (ja) * 2010-06-16 2012-02-02 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
JP2013533631A (ja) 2010-07-16 2013-08-22 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド エッチング後残渣を除去するための水性洗浄剤
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
SG189292A1 (en) 2010-10-06 2013-05-31 Advanced Tech Materials Composition and process for selectively etching metal nitrides
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
JP6329909B2 (ja) 2011-12-28 2018-05-23 インテグリス・インコーポレーテッド 窒化チタンを選択的にエッチングするための組成物および方法
CN104508072A (zh) 2012-02-15 2015-04-08 安格斯公司 用于cmp后去除的组合物及使用方法
EP2850495A4 (en) 2012-05-18 2016-01-20 Entegris Inc COMPOSITION AND METHOD FOR REMOVING PHOTOLACK FROM A SURFACE WITH TITANNITRIDE
US9765288B2 (en) 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
KR102294726B1 (ko) 2013-03-04 2021-08-30 엔테그리스, 아이엔씨. 티타늄 나이트라이드를 선택적으로 에칭하기 위한 조성물 및 방법
EP3004287B1 (en) 2013-06-06 2021-08-18 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
TWI683889B (zh) 2013-07-31 2020-02-01 美商恩特葛瑞斯股份有限公司 用於移除金屬硬遮罩及蝕刻後殘餘物之具有Cu/W相容性的水性配方
SG11201601158VA (en) 2013-08-30 2016-03-30 Advanced Tech Materials Compositions and methods for selectively etching titanium nitride
KR102261638B1 (ko) 2013-11-15 2021-06-08 삼성디스플레이 주식회사 세정제 조성물 및 이를 이용한 금속배선 제조방법
TWI654340B (zh) 2013-12-16 2019-03-21 美商恩特葛瑞斯股份有限公司 Ni:NiGe:Ge選擇性蝕刻配方及其使用方法
WO2015095726A1 (en) 2013-12-20 2015-06-25 Entegris, Inc. Use of non-oxidizing strong acids for the removal of ion-implanted resist
KR102290209B1 (ko) 2013-12-31 2021-08-20 엔테그리스, 아이엔씨. 규소 및 게르마늄을 선택적으로 에칭하기 위한 배합물
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
WO2015119925A1 (en) 2014-02-05 2015-08-13 Advanced Technology Materials, Inc. Non-amine post-cmp compositions and method of use
WO2017086758A1 (ko) * 2015-11-19 2017-05-26 오씨아이 주식회사 구리 식각용 조성물 및 과산화수소계 금속 식각용 조성물
KR101669772B1 (ko) * 2015-11-19 2016-10-27 오씨아이 주식회사 구리 식각용 조성물
CN109920729B (zh) * 2019-03-27 2022-12-02 合肥鑫晟光电科技有限公司 一种显示基板的制备方法、显示装置
CN113736574A (zh) * 2021-09-27 2021-12-03 北京中铁富红企业管理有限责任公司 一种动车清洗用清洗剂及其制备方法和动车清洗方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0496605B1 (en) 1991-01-24 2001-08-01 Wako Pure Chemical Industries Ltd Surface treating solutions for semiconductors
TW274630B (zh) 1994-01-28 1996-04-21 Wako Zunyaku Kogyo Kk
JP3074634B2 (ja) * 1994-03-28 2000-08-07 三菱瓦斯化学株式会社 フォトレジスト用剥離液及び配線パターンの形成方法
JP3548237B2 (ja) 1994-08-29 2004-07-28 シャープ株式会社 薄膜トランジスタ
KR100429440B1 (ko) 1995-07-27 2004-07-15 미쓰비시 가가꾸 가부시키가이샤 기체의표면처리방법및그에사용되는표면처리조성물
EP0783034B1 (en) * 1995-12-22 2010-08-18 Mitsubishi Rayon Co., Ltd. Chelating agent and detergent comprising the same
WO1998022568A1 (en) 1996-11-22 1998-05-28 Advanced Chemical Systems International, Inc. Stripping formulation including catechol, hydroxylamine, non-alkanolamine, water for post plasma ashed wafer cleaning
WO1998028395A1 (en) 1996-12-24 1998-07-02 Advanced Chemical Systems International, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
US6030491A (en) 1997-08-19 2000-02-29 Micron Technology, Inc. Processing compositions and methods of using same
US6211126B1 (en) * 1997-12-23 2001-04-03 Advanced Technology Materials, Inc. Formulations including a 1, 3-dicarbonyl compound chelating agent for stripping residues from semiconductor substrates
US6306807B1 (en) * 1998-05-18 2001-10-23 Advanced Technology Materials, Inc. Boric acid containing compositions for stripping residues from semiconductor substrates
US6248704B1 (en) * 1999-05-03 2001-06-19 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductors devices
US6344432B1 (en) 1999-08-20 2002-02-05 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
US6566315B2 (en) * 2000-12-08 2003-05-20 Advanced Technology Materials, Inc. Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI617705B (zh) * 2014-07-14 2018-03-11 慧盛材料美國責任有限公司 銅腐蝕抑制系統

Also Published As

Publication number Publication date
EP1349969B1 (en) 2007-07-18
EP1349969A4 (en) 2004-07-28
CN1483093A (zh) 2004-03-17
US20020065204A1 (en) 2002-05-30
KR20040007422A (ko) 2004-01-24
ATE367460T1 (de) 2007-08-15
KR100890418B1 (ko) 2009-03-26
DE60129465T2 (de) 2008-04-17
JP2004527105A (ja) 2004-09-02
US6660700B2 (en) 2003-12-09
US6344432B1 (en) 2002-02-05
EP1349969A1 (en) 2003-10-08
DE60129465D1 (de) 2007-08-30
WO2002057513A1 (en) 2002-07-25
JP4091433B2 (ja) 2008-05-28
US20020013238A1 (en) 2002-01-31
CN1244719C (zh) 2006-03-08

Similar Documents

Publication Publication Date Title
TWI243861B (en) Formulations including a 1,3-dicarbonyl compound chelating agent and copper corrosion inhibiting agents for stripping residues from semiconductor substrates containing copper structures
JP4104439B2 (ja) 銅構造物を含有する半導体基板から残留物を除去するための1,3−ジカルボニル化合物キレート化剤及び銅防蝕剤を含む組成物
JP5646882B2 (ja) 洗浄組成物、洗浄方法、及び半導体装置の製造方法
US6224785B1 (en) Aqueous ammonium fluoride and amine containing compositions for cleaning inorganic residues on semiconductor substrates
US6896826B2 (en) Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
JP5662365B2 (ja) クリーニング調合物およびそのクリーニング調合物の使用方法
JP4498424B2 (ja) 半導体基板上の無機残留物を洗浄するための、銅特異的な腐食防止剤を含有する水性洗浄組成物
JP2008066747A (ja) 水性フッ化アンモニウムおよびアミンを用いた、半導体ウエハ洗浄組成物および方法
JP2001051429A (ja) 剥離剤組成物
JP4386968B2 (ja) 半導体基板から残留物をストリッピングするための1,3−ジカルボニル化合物キレート剤を含む処方物

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees