TWI233641B - Plasma ashing apparatus and endpoint detection process - Google Patents

Plasma ashing apparatus and endpoint detection process Download PDF

Info

Publication number
TWI233641B
TWI233641B TW093114397A TW93114397A TWI233641B TW I233641 B TWI233641 B TW I233641B TW 093114397 A TW093114397 A TW 093114397A TW 93114397 A TW93114397 A TW 93114397A TW I233641 B TWI233641 B TW I233641B
Authority
TW
Taiwan
Prior art keywords
plasma
processing chamber
gas
oxygen
free
Prior art date
Application number
TW093114397A
Other languages
English (en)
Other versions
TW200503087A (en
Inventor
Aseem Srivastava
Palanikumaran Sakthivel
Thomas Buckely
Original Assignee
Axcelis Tech Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Axcelis Tech Inc filed Critical Axcelis Tech Inc
Publication of TW200503087A publication Critical patent/TW200503087A/zh
Application granted granted Critical
Publication of TWI233641B publication Critical patent/TWI233641B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)

Description

1233641 玖、發明說明: 【發明所屬之技術領域】 本發明係關於半導體裝置與製程,且尤其是指電漿媒 介(mediated)方法與電漿裝置,適用於灰化包括_低^介 電材料之一基板的有機材料。 【先前技術】 近來,諸多的注意力係已經針對於發展用於下一代的 微電子元件之低k介電薄膜。由於積體電路變得更小,沿 著互連件之訊號傳播的RC延遲時間係成為限制整體晶片° 速度之支配因素的一者。隨著銅技術之出現,R係已接近 至目前技藝狀態之實際最低的極限’故注意力係必須針對 於降低C。達成此任務之一個方式係降低環繞於互連件之 絕緣薄膜的平均介電常數(k)°傳統的二氧切絕緣材料之 介電常數(k)係約3.9°降低介電常數(k)為低於3.9係將提 供降低的電容。 用於先進的積體電路之低k介電材料係典型包含有機 聚合物或氧化物且具有小㈣3.5之介電常數。低k介電 材料係可如同為一溶液而旋轉塗覆於基板,或由一化學氣 相沉積製程所沉積。重要㈣k薄膜性質包括:厚度與均 勻度、介電常數、折射率、黏附性、化學抵抗力、熱穩定 性、孔洞尺寸與分佈、熱膨脹係數·、玻璃轉變溫度、薄膜 應力、與銅擴散係數。 , ^造晶®上的積體電路’在完成的積體電路可為產 生之刖’晶圓係通常受到諸多的處理步驟。低让介電材料( 1233641 2是:=低'介電材料)係靈敏於此等處理步驟之某 。於一個灰化(ashing),’步驟期間所運用 去;:係可剝離光阻材料以及移除低k介電薄膜之-部分 火化係概指一個電漿媒介剝離處,藉其,光阻鱼敍 ^的殘留物係於暴露至電漿時而剝離或移除自—基板。 火化處理係通常發生在一個㈣或植入製程 ΗΓ製程中,—光阻材料係運用作為—光罩,以餘刻^ ^至底層的基板或用於選擇性植人離子至基板之暴露的 ::…蝕刻處理或植入處理完成後之於晶圓上的殘餘光阻 ”壬何钱刻後或植人後的殘留物,針對熟悉此技藝人士所 通常為習知的諸多理由,係必須在進一步處理之前而移除 :灰化步驟係典型後面跟隨著—濕化學處理以移除微量的 夂留物’其將引起⑻k介電質之進一步的劣化、材料之損 失且亦可能引起於介電常數之增大。 炒重要私出的是,灰化處理係大為不同於蝕刻處理。雖 然t種處理均可為電㈣介,L理係顯著不同在於 、係選取電漿化學物以永久轉移一影像至基板,此藉著透 過光阻遮軍之開σ而移除基板表面之部分者。電毁係 通常包括於低溫度與低壓力(毫托之等級)之高能量的離子 轟擊’以移除基板之部分者。甚者,暴露至離子之基板的 部分者係ϋ f以等㈣大於光阻遮罩的㈣速率之一速率 多除反之’灰化處理係概指選擇性移除光阻遮罩與於 1虫刻期間形成之任何聚合物或殘留物。灰化電聚化學物相 車乂於蝕刻化學物而較不積極許多,且通常為選取以相較於 1233641 下面的基板之移除速率為較大許 ϋ ^ ^ . 迷羊而移除光阻遮 罩層。甚者,大多數的灰化處理係加熱基板 度之溫度以提高電装反應度,且實行於約 = 力。因此,韻刻與灰化處理係針對大為不同的材料之移二 卢如此而需要完全不同的電激化學物與過程。成功的灰化 處理係非用以永久轉移一旦彡徬 “丄 ㈣衫像至基板。而成功的灰化處理 係由光阻、聚合物與殘留物移除速率所定義’而 移除下面的諸層(例如:低k介電層)。 M a 4 ::係已經提出的是,對於光阻移除處理期間之低k :’ 1 /貝二化::一重要成因係自典型用於灰化之含有氧及/或 虱及/或氟的氣體源之運用而造成。雖然含有此等㈣卜 或多者的氣體混合物係有效率地灰化基板之光阻, 體源之運用係已經證明為有害於含有⑯k介電質之基板。 舉例而言’含有氧的電聚釋放物係已知為於電漿處理期間 提高低k介電質底層之介電常數。於介電常數之增大係尤 為影響互連電容,其係直接影響裝置性能。甚者,含有氧 的電㈣放物之運用針對運用銅金屬層之先進裝置製造而 通=較為不佳’此乃由於銅金屬於典型運用於光阻灰化之 提间的皿度而易於氧化。有時,損壞係於電漿處理後之基 板的度量衡檢查期間而未谓測出。然而,損壞係可為藉著 一個隨後的濕清洗處理而易於展現,如可為於電漿灰化之 後而典型運用,其中係移除含有碳及/或氣的低k介電㈣ 之部分者。介電材料之移除部分者係特徵之臨界大小(CD, critical dimensiGn)的-變動源,其經f為不可接受且影響 1233641 整體的裝置產率。甚者,即使是未納入一濕清洗處理,介 電材料之電與機械性質係可藉著暴露至無氧的電漿釋放物 而改文,因而影響操作性能。所相信的是,碳係於電漿暴 露期間而為空乏自介電材料。 理想而言,灰化電漿係應不影響下面的低k介電層, 且較佳為僅移除光阻材料。諸如Si〇2之運用作為介電材料 係提供關於此等氣體源之高選擇度。為了使得對於低k介 電質之摘壞為最小,係已發展無氧及無氮的電漿處理。一 -亥種處理包括:自包含氦與氫的一氣體混合物而產生電 水而,该種移除機構係對於此等較不積極的電漿釋放 為不m由氦與氫所形成的電激之無氧及無氮的 電非以傳統方式灰化光阻。而相信的[該種電漿係 使=刀的光阻為昇華自該基板。該種移除機構之結果為 y盡管為有效自基板而移除光阻材料,電浆暴露係傾向以 :積大物體之昇華或移除的光阻與副產物於處理室且於電 ,處理室之下游的區域’諸如:於節流閥與排放線路。此 等灰化材料之累積係可導致短的清洗間之平均時間(Μι% —e-between_cl―與真空硬體之頻繁的重新建立/更 換’造成生產速率之損失與物主之提高成本。此外,於處 理室的光阻材料之沉積(其位在基板的平面之上方)係可導 、基板之粒子污染,因而進而影響裝置產量。 關於無氧及無氮的電漿之又一個問題係電漿暴露之不 均勻度。由於此等電漿釋放物係較不積極,不均勾度係一 個重大的議題。某些下游電漿灰化器係具有於產生電漿之 1233641 乍直徑孔的電漿管。基板之直徑係通常相較於電漿管孔 之直乜而為較大許多。如此,擋板係典型定位為接近於電 裝管出口以隨著電t進入處理室而將其偏向,使得於電漿 中的电桌物種係均勻散佈跨於基板。然而已經發現的是, 不積極的電漿釋放物係具有較少的反應物種,自擋板之 中央點至其外緣之散佈係可造成於晶圓上的熱區,即··不 均勻度之區域。舉例而言,已經發現的是,產生於一電漿 之氫自由基(radical)係隨著氫物種自軸向流反應器之擋板 、中央隶大4里擊點行進至擔板的外緣而重組,因而導致於 曰曰圓邊緣之較小的灰化速率。於其晶圓直徑為可相比於電 装管之腔室設計,自由基之不均勻度可為以其他方式而緩 牙口 〇 關於無氧及無氮的電漿之另一個問題係關於終點偵測 。傳統的終點偵測方法與裝置係不適用於思及此等型式之 電漿釋放物。舉例而言,於由氫與氦氣體混合物所形成之 電漿的情形,無光學激發的物種產生於晶圓平面以產生適 用於終點偵測之一訊號。 是以,仍然存在對於改良的方法與裝置之需求,以用 於產生無氧及無氮的電漿釋放物以運用於低k介電質。 【發明内容】 於本文所揭示者係一種電漿灰化裝置,用於自一基板 移除光阻及/或蝕刻後的殘留物,該種裝置包含··一第一氣 體源;一電漿產生構件,流體連通於第一氣體源,該電漿 產生構件係產生一第一電漿以自該基板選擇性移除光阻及/ 12 1233641 或蝕刻後的殘留物;一處理 、、ή 以接收電嘴… 通於電聚產生構件 妾收電…玄處理室係容納該基板,·一排放導管,流體 j通於處理室’該排放導管包含用於引人-第二氣體源之 2且-後燃燒組件為純至該排放㈣,料係配置於 忒處理室與後燃燒組件之中間;及,一 接至該排放導管且包含聚隹 予、’、丨/、、、,,耦 έ M 3彔本先學杰件,其聚焦於該後燃燒 組件之一電漿釋放區域内。 #於另-個實施例,一種下游電漿灰化及/或殘留物移除 置匕έ用於產生一電漿於流體連通於一處理室的一排 放導管之機才冓;用於監視針對產生於電漿内之物種的一放 射訊號之機構;及,用於根據產生於該排放導管之放射訊 號而決定於處理室的一基板之一電漿灰化及/或殘留物移除 處理的一終點之機構。 種用於偵測無氧及無氮的電漿灰化處理的終點之方 法包含··暴露上面包含光阻材料及/或蝕刻後的殘留物之一 基板至一處理室之無氧及無氮的電漿;自該基板移除光阻 材料及/或蝕刻後的殘留物;自該處理室而排放被移除之光 阻材料及/或蝕刻後的殘留物至流體耦接至處理室之一排放 導管;選擇性引入一氧化氣體至排放導管;由該氧化氣體 與被排放之光阻材料及/或蝕刻後的殘留物而產生一含有氧 的電漿以形成放射性的物種;及,光學監視由放射性的物 種所產生之一放射訊號,以決定該無氧及無氮的電漿灰化 處理之終點。 於另一個實施例,一種用於自一基板而移除光阻及/或 13 1233641 殘留物之一無氧及無氮的電漿灰化處理之終點偵測方法包 含.引入一氧化氣體與一電漿灰化釋放物至一電漿灰化擎 置之-排放導管’纟中’電裝灰化釋放物係、包含光阻材料 、蝕刻後的殘留物、與灰化後的產物,且該電漿灰化釋放 物係無原子氮及無原子氧的物種;自該氧化氣體與電聚灰 化釋放物而產生一電漿,以形成放射性物種;及,光學監 視相關於放射性物種之放射訊號強度’其中,當相關:: 射性物種之放射訊號強度係不再存在時,係偵測到該無氧 及無氮的電漿灰化處理之一終點。 於另一個貫施例,係揭示一種用於決定一無氧及無氮 的電漿灰化處理的終點之方法,該電漿灰化處理係運用於 自含有妓的低k介電材料之一基板而剝離光阻材料,該種 方法包含:暴露該基板於一處理室之無氧及無氮的電漿灰 化處理,以自該基板而移除光阻材料且形成揮發性的副產 物,自該處理室而排放光阻材料與揮發性的副產物至一排 放導管;選擇性引人-氧化氣體至該排放導f,該氧化氣 體係未流入該處理室;由該氧化氣體、排放的光阻材料、 與揮發性的副產物而產生一電漿於該排放導管;測量於該 排放導官之一放射訊號強度,其為相關於約283 nm、約 309 nm、、約 387 nm、約 431 nm、約 434 nm、約 468 _、 約 472 nm、約 513 nm、約 516 nm、約 656 nm、約 nm 、約777 nm、約845 nm之波長、或是前述波長之至少一 者的一組合,·及,響應於排放導管的放射訊號之一觀察變 化而決定該無氧及無氮的電漿灰化處理之終點。 14 1233641 於又一個實施例,係揭示一種用於決定一無氧及無氮 的電漿灰化處理的終點之方法,該電漿灰化處理係運用於 自含有碳的低k介電材料之一基板而剝離光阻材料,該種 方法包含:於不存在氧與氮下,由包含氫或氦或是包含前 述氣體之至少一者的組合之一氣體混合物而產生一第一電 襞於一處理室;暴露設置於該處理室之基板至第一電聚, 以自該基板選擇性移除光阻材料及/或殘留物;排放來自該 處理至之被移除的光阻材料及/或殘留物至一排放導管;產 生一第二電漿於該排放導管,以產生放射性的物種;及, 光學監視放射性的物種,其中,當放射性的物種之一強度 有變化,係偵測到第一電漿之一終點。 上述及其他的特點係藉由隨附的圖式與詳細說明而例 言登0 【實施方式】 第1圖係概括說明一種軸向流下游電漿裝置1〇,其適 :自G括低k "電材料之基板而移除光阻、側壁沉積物 、與钮刻後的殘留物。電漿裝置1G係概括包含—氣體傳 达構件12、-電漿產生構件14、一處理室16、與一排放 =構件。種種構件係組合以提供藉著無氧及無氮之電 水釋放物而處理基板之改良, k介電材料。 其中,基板包括含有碳之低 特別適用於本揭示内容 係電漿灰化器,諸如例如: 商業取得自艾克塞利斯科技 之修改的下游軸向流電漿裝置 取得於商標Fusion ES 3LK與 公司之彼等微波電漿灰化器。 15 1233641 微波電漿灰化器之部分者# ’、田述於美國專利第 與 4,341,592 號、及 pCT ^ ^ ^498,308 及pCT國際專 WO/97/37055,其整體為以參昭 月案序唬 、、方式而納入於本文。如膝 方;下文所論述,揭示内容係 、 MR於此個或以下實 何特定電漿灰化器。舉例而言,一 任 毁反應器係可運用。 #^或電容@接式電 缘材:有k介電質係定義於下文為彼等含有碳之絕 、㈣枓,其適用於具有介電常數為小於約3 小於約3.0為更佳)的積體電路或類似者之製造。含有= 低k介電材料可包括含有碳之附屬基團或可為含有碳,人並 中’介電材料之骨幹係主要為包含碳之一互連網路。含: =之低k介電質係可概括分類為二種型式之—者:有機盘 4雜的乳化物。有機的⑯k介電材料之實例包括:聚醯亞 胺、苯並環丁烯、聚對亞苯基二甲基、類似鑽石的碳、聚 亞芳基喊、環烯烴(cyclotene)、氣碳化合物與類似者,諸 如:可商購於商標SiLK或BCB之彼等介電f。推雜氧化 物的低k彳電材料之實例包括:曱基石夕倍半氧炫加吻】 s山esquioxane)、氫石夕倍半氧烧(hydr〇gen仙以㈣⑽叫、 奈米孔洞的氧化物、摻雜碳的二氧化石夕、與類似者,諸如 :可商購於商標CORAL、BLACK diAM〇nd、與 aurORA之彼等介電質。二種型式之含有碳的低k介電材 料係存在於緻密與多孔的型態者。其多孔型態者係商業習 知於諸如LKD、ORION、BOSS、或多孔SiLK之商標者。 馨於此揭示内容,對於熟悉此技藝人士而言,其他之含有 16 1233641 碳的低k介電材料係將為顯明。 同理’含有氫之低k介電質係定 氫的絕緣材料,其適用於具有一介電火、τ文為彼等含有 積體電路或類似者之製造。上述諸多=為小於約3.5的 質係包括-或多個氫原子,於C碳的低k介電 原子。然而,於本揭示内容之適合之構内而為附接至碳 料係非意圖為受限制於含有碳之結構。有風的低k介電材 如於第1圖所示,氣體傳送構 純化器20,其為流體連通於一氣體源22錢^包含一,體 無氮的電漿)與電聚產生構件14之二肖於產生無乳及 氣體入口 23。一如雜 原(未顯示)係可為流體連通於氣體…3,以提 t 1之一種處理’相對於藉著拆卸處理室之-部 刀Sr近而人工清洗該等腔室構件。於-個較佳實施例 ’、、,屯化益20係適以降低雜f程度至小於約為百萬分之 即:1〇 PPm,parts per milH〇n),較佳為具有小於約為5 PPm之雜質程度,更佳為具有小於約為】ppm之雜質程度 ’且最佳為具有小於約為十億分之1〇〇 (即:1〇〇_,帥 P二biUion)之雜質程度。達成此等雜質程度之適合的純化 器係包括基於-種金屬吸取(getteringm術之彼等者,諸 > 自’純氣體公司之商標名稱為m〇n〇 TORR®的高流量純化器之彼等氣體純化器。氣體純化器2〇 之使用為流體連通於用以形成電漿之氣體源22 ’降低污染 物之程度至有效於低k介電基板(且尤指含有碳之低k介電 17 1233641 二)之強健處理的量。用於產生無氧及無氮的電漿之適合的 軋體係包括而非意圖為受限於:1、氦、氬、氖、其他惰 陡氣體、烴、與包含前述氣體的一或多者之組合。舉例而 二,具有發表的純度為99·999%之一氦氣體源係將為不合 。乂用方、電漿媒介處理含有碳的低k介電質。諸如Η:。、 、CO、eh與乂之雜質程度可能足以引起於基板的進 ^步處理期間之低k介電質的腐蝕及/或有害地引起於介電 吊數之一增大。較佳而言,用於形成電漿之進入氣體係純 =以含有小於100卯]3之H2〇、〇2、c〇、〇〇2與N2。純化 為20係較佳選擇為有效率且提供此等較佳的雜質程度於 田问的机畺率’例如:每分鐘為約丨〇〇至約1 2,⑻〇標準 立方公分(Sccm,standard cubic centimeter per minute)或更 大之流量率,係可針對300 mm下游電漿灰化器而為預期 〇 第2與3圖係說明一個範例的微波電漿產生構件丨4, 藉其,本發明係可實施。第4圖係說明包括微波電漿產生 構件之電漿灰化裝置10的立體圖與處理室16的立體圖。 可瞭解的疋’電毁產生構件i4係、已經簡化以說明僅有關 :瞭解本揭示内容之彼等構件。熟悉此技藝人士將認知的 疋’其他的才冓件係可為所需以產生一操作電敦灰化裝置 。然而’因為該等構件係眾所週知於此技藝,且因為其未 進而助於本揭示内容的瞭解,該等構件之論述係未提供。 微波電漿產生構件14包括一微波封殼24。微波封殼 24係一矩形盒體,其運用長度方向的分段%、μ、與% 18 1233641 所分隔且具有電漿管32為 漿管通過直“ 〜、其中。各個分段係具有電 教波二、因 口。各個分段係於操作期間而饋送以 ΐ”。因此4個分段係對於進人微波能量呈現為一 的腔部,促進具有方位與轴向均勾度的模式之开,成 。外營+ 7又W犋式之形成 於電喷管,且ΓΓ,腔部内側之電㈣。外管係稍微分離 2…,且空氣係在正壓力之下而饋送於該二管之間以 提供電漿管之有效的冷卻。管 ^ ^ 平乂佳為由藍寶石所作成 。诸如石央、覆有氧化結的、 &雪將Am/ 或其他陶瓷材料之其他 材料係可運用。較佳而言,微波封殼24係尺寸 為支援矩形TM 110模式,且封殼24 面。橫截面之尺寸係使得TM i 為 形的検截 之長度係小於;中,。各個分段 的導引長度。 、g係於TE _模式之腔部 ,於分段26、28、與30之開口(透過其饋入同心的諸管) 二成較大於電浆管之外部尺寸。亦為顯示者係一隔膜 (ms)板36’其覆蓋該微波結構之開口側且為有效饋送微波 …相鄰的分段。板36係一平坦的金屬板,具有透過 波月匕里之隔膜38、40、42與44。透過此等隔膜 係存在微波傳輸’其致使電聚激發於由分段所環繞之管的 =分者。該傳輸係有助於降低於由分段所環繞的區域與非 環繞的區域之間的電㈣之熱梯度。若未運用—外管(以某 些其他方式提供冷卻),於分段之開口尺寸為使得存在於電 漿管與分段之間的空間得以提供該微波傳輪。 微波陷波器(trap) 46與48係設置於二端以防止微波傳 19 1233641 輸。該等陷波器係可為於美國專利第5錢則號所揭示 之型式者。設置空教宓抖/ ,、 乳⑴封/方向性饋送器50與52以允許冷 卻:氣饋送至同心的諸管之間的空間。空氣密封/方向性饋 ^ 54係顯示於出口端,且一第四個該種單元係存在而 未見於圖中。 、,:電官56係提供微波功率,其為透過純器%而饋 皮導〃(、應TE 1G模式且具有互相垂直的分段60 ::。波導分段62之長度係藉著可移動的柱塞64而可調 導分段62之底板係隔料36,其㈣微波能量至 ^微波結構24,«管32係延伸通過微波結構24; 卜電漿係激發於流過電漿管之氣體混合物。 波哭再:參考弟3圖,看出的是,端蓋70係鄰接於微波陷 ' ,且具有允許氣體至電漿管之一中央# Μ π 1 7/1 係延伸至端蓋。氣體供……中央孔的配件74 請…辨 (22係由-外部流量盒(未顯示) 所5周即。氣體純化 U與氣23 μ置^體連通於氣體供應器 〇开…, (參閱弟1圖)。電漿管32係由端蓋令之 〇形% 72而支撐於此端。 器46 * 48而去係猎者4接於微波陷波 於處理室之適末端。間隔物76係存在以提供關 ,且具有^漿管之另—端係位於末端構件Μ 一 幵口 80以放射電漿/氣體至處理室16。選用 :’形成開口 80之導管係裝配有一窄的孔洞配 :於電黎管32與處理室16之間的一 ^ 電漿營… 具中,於 力較佳為範:二係較大。於操作期間’於電聚管3 2之壓 '圍自4】托至約大氣壓力。對照而言,於操作 20 1233641 期間之處理室内的壓力係範圍自约100毫托至約大氣壓力 0 電漿管32之開口 80係流體連通於處理室16之一内部 區域。由於電漿係自一比較窄的孔(相較於所處理之基板的 尺寸)而釋放至處理室之内部,欲促進均勻的電漿暴露於基 板之一氣體分配系統100係配置於處理室16。氣體分配系 、、先1 〇〇係配置於基板與電漿管32之開口 80的中間。 於一個較佳實施例,氣體分配系統丨〇〇包含在晶圓之 上方的一或多個擋板,以促進電漿之均勻分佈至基板表面 心板較佳為包括多層堆疊的播板,其中,各板係含有一 或夕個孔洞。一充氣空間(plenum)係形成於該擋板組件與 處理室的上壁之間。於一個特別較佳的實施例,擋板組件 係適以提供自電漿至晶圓表面之反應性的物種之更均勻的 展度。如於先前技術段落所論,已發現的是,例如產生於 電水之氫自由基係隨著氫自由基自軸向流反應器之中央 取大撞擊點行進至擋板之外緣且歸因於重組而減小濃度。 笞不欲為理淪所限定,相信的是,氫自由基之活性的降 低(隨著此等物種為流動至擋板之外緣)係可能歸因於氫原 子之較短的存活期,此乃相較於此等物種必須自中央饋送 軸向電漿流行進至充氣空間的外緣之徑向距離所可支援者 。一旦該等氫自由基已經重組成為分子的氫或類似者,中 性的氣體係將不再反應於光阻。另一個理由係可能在於, > 2本文所述的下游電漿灰化器之一種軸向流反應器設 ^自日日圓的中央部位之光阻灰化副產物與耗費的氣體 21 1233641 係必須流動通過晶圓之邊緣以到達處理室i6之排放導管 170°此舉係造成較為接近晶圓邊緣(相較於較中央的部 之活性的U由基之可觀的稀釋,且另外提供對於較為接 近邊緣的II自由基藉著反應於已經移除自中央位置之光阻 灰化副f物而去活化。已經發現的是,灰化之提高的均句 度係可藉著提高擋板之孔洞密度而達成於距離自播板之中 央點至外緣。舉例而言,藉著提高自中央點至外緣之孔洞 f度或是藉著增大自擋板之中央點至外緣的孔洞尺寸、或 藉著納入-無孔洞的中央點、或藉著一或多個前述的擒板 架構之組合,係可提高反應度且改善於基板之電黎均句度 Ο 第5至8圖係說明用於裝置1G之適合的氣體分配系統 。於:個較佳實施例’氣體分配系統1〇〇係一種雙擋板組 件。第5圖係顯示處理室16,其納入該氣體分配系統或撐 板組件_之-第一實施例。具有播板組件1〇〇安裝於: 中之灰化處理室16係適用於一 3〇〇毫米(mm)之晶圓處理 系統。氣體分配系統100亦可為適用於2〇〇 mm晶圓,如 熟悉此技藝之人士將雲於此揭示内容所理解。雖然本揭示 内容係顯示為實施於-下游電毁灰化裝置,亦可運用於其 他的半導體製造設備,諸如:殘留物移除、剝離、與均: 性姓刻設備。 擋板組件100包含一具有孔洞的上擋板1〇2與一相對 較大之具有孔洞的下擋板104,其定位為概括平行於彼此 且為彼此分離。擋板組件100係附接至處理室之一下部 22 1233641 106,其包括_腔部1〇8,欲處理之一晶圓ιι〇係置放於腔 ^ 108除了方位為平行於彼此,擋板102與104係亦方 位為平行於所處理之晶圓"0。擋板102肖104可為相同 或不同的尺寸,或可具有相同或不同數目之孔洞。於一個 車乂仫貝轭例,如於第5圖所示,上擋板丨〇2係相較於下 板104而具有較小的直徑。 ^ 饴封H2係設置於擋板組件1〇〇與處理室的下部 巧的w面,且位在於下擋板1〇4之溝槽i Μ (參閱第 7圖)°晶圓係經由—負載閘機構(未顯示)且經由進入/退出 通道116而引入至(及移出自)處理室。或者…μ 操縱系未顯示)係可用以引入晶圓至處理室及移出I圓 =理=。位在處理室的下部1G6之下方的_加熱器機構( s“於後)係於處理期間而加熱晶圓"〇之底側至一期望的 溫度。 主的 处至16係典型為安裝於電漿灰化裝置10,位在 加熱器組件(下方)盥電f '、 厂、電水產生構件14 (上方)的中間之於孔 處。於操作期間,離開電漿管32 (參閱第3圖) 之激能的電漿(氣體)得请 菔)係梃遇擋板組件1〇〇。於一個 施例,流通自電Μ營Μ Κ吕32之激旎的電漿係首 1〇2之一中央區域,l實皙Α盞方,η “板 •、、,,、 為無孔洞。此無孔洞的中央區 或一有功月b ·消除退出電漿管古 r ^ ^ 之阿的軸向氣體速度且 加速该乱體/電漿物種於一 也⑽盘未田^ 向’錯以達成形成於下擋 人匆ί至的上壁(即··蓋部)之間的充 a 操作。電漿係接著為經由 〜門之適虽 巧扳102之孔洞12〇與於下 23 1233641 擋板104之孔㈤122而分配至處理室腔部ι〇8。於一個實 施例,下播板1〇4係、可藉著經由…%與出…以流 通於内部冷卻通道124的-冷卻媒體而主動冷卻。處理室 之下部106的壁部130 亦可藉著其經由入口 134與出口 1 3 6以流通於内部冷卻通道 132的一冷卻媒體而主動冷卻 如更清楚顯示於第6與7圖,下擋板} 〇4包含一外凸 緣138與含有孔㈣2之一概括平坦部14〇。安㈣未顯 不)係可設置於下擔板1G4,以藉由支座(standoff) 144而安 裝上擋板102 i其上。於上與下擋板之間的距離係部分決 定,過擔板組件100之氣流的型態。針對3〇〇麵電聚灰 化/於上擋板1 02與下播板1 G4之間的距離較佳為約 〇·25英忖至約2英忖,更佳為約0.5英忖至約ι·5英忖。 第6圖係於第5圖所顯示之3〇〇仍㈤擋板組件的平面 圖:而第7圖係擋板組件i 〇〇之此實施例的截面圖。如於 $等圖式所示,播板組件1〇〇係經由於下擋板凸緣138之 安裝孔146而安裝至處理室之下部1〇6。孔洞122係設置 於下擋板。孔洞部& 122之表面積係足以覆蓋位在其下方 之曰日圓:1 〇 (參閱第5圖)。於此實施例,孔洞122之尺寸 係自下播板之一中央點至一外緣而增大。孔、洞122之漸增 勺尺寸係改善針對無氧及無氮的電漿釋放物之電漿均勻度 ,諸如運用於含有碳之低k介電質。 弟图係σ兒明根據另一個實施例之下擋板104的平面 圖於其中,孔洞122之密度係自下擔板104之中央點至 24 1233641 外緣增加為一變遷,其中’孔洞之尺寸係相同。 、、夕於上擔板1G2之孔、;同12〇係概括為佈置於—徑向或同 “的圖案。上抬板i 〇2係由覆有藍寶石之熔融的氧化 二或石英(Si〇2)、或陶瓷材料而組成。於上擋&⑽之孔 刀12〇較佳為猶大於下播板104之最大的孔洞122。位在 於上擋板102之中央者係無孔洞的_部分,且可更包含一 藍寶石撞擊碟152。上擋板1G2之中央無孔洞的部分(有或 無藍寶石撞擊们52)係將發射自電漿管Μ的激能氣體轉 向為徑向朝外至上擔板1G2《其餘的孔洞區域,藉以防止 :處理的晶圓110之徑向朝内部分為過熱且防止以相較於 晶圓其餘部分之-比例較高的速率而過度灰化,歸因於在 B曰 ㈣中央處之物種的較高濃度。於另_個實施例,上播板 102係可構成為完全無孔洞,其為有用於處理2〇〇 圓。 基板110之加熱較佳為由定位在晶圓11〇的下方之一 陣列的鎢絲鹵素燈160而達成(參閱第!圖),其中,晶圓 係由於處理室内的舉起銷所支撐。對於紅外線輻射為透明 之一板156 (如於第5圖所示之處理室的底壁)係配置於室 16與燈160之間。較佳而言,基板係於灰化期間為自約攝 氏80度而加熱至約攝氏350度。更佳而言,基板係藉著 遞增提高溫度而逐步加熱。加熱係已經發現為提高關於光 阻及/或蝕刻後的殘留物之電漿的反應速率,且因此提高生 產率。施加至基板之熱量係將取決於特定的低k介電層以 及其他已經形成於基板的諸層與構件之熱穩定度。於一個 25 1233641 較佳實施例,熱量係非均勻 將a t 6刀主秦板之璉擇區以利於雷 水與光阻之均勻的反應。 、 俘ϋ你&洁s 匕貝鈿例,一控制器(未顯示) 系運作為連通於燈陣列丨6 以你、#曰门^ ^種種方式而加熱基板110, 从促進晶圓於處理期間之更 方法係運用接觸或緊鄰以力埶=…、…日日圓之另一種 如·力…: 晶圓之一平坦加熱表面,諸 π · —加熱的夾盤。 土板110較仏為同時暴露至充分強度 I以及至無氮與盔氧的雷將 M J間之熱 散離開…電層且^ 件或諸層之劣化。較佳^ H起於基板之任何其他構 的氧化物之八古 σ,針對夕孔性或無孔性之摻雜 2”至二4碳的低"介電材料,晶圓係加熱自約攝氏 π度至約攝氏400度,争社兔从孩< 度。較佳而言,針對有/為撕100度至約攝氏· 氏80度至約攝氏 ㈣係加熱自約攝 大溫度係取決2用的=最對於有機介電質之最 由熟悉此技藝二=㈣之内在性質,且可為 可為逐步上#^ 刀析技術所決定。該溫度係 升於處理期間或是維持靜態。一 開口亦可針對# 處理室16之壁部^ 所通常習知之目的而為配置於 ^ ^ & λα ^ 諸如·用於監視於一種就地腔室清 洗處理的終點偵測之一 主/月 化的氣體物種之…:用以“斤於處理期間所演 ^ 1光譜計入口、或類似者。 此外,處理宮Τ ^ , 放開口 158。較佳而1包括配置於底板156之中央的一個排 。 而§,排放開口 158係同軸於電漿管32 26 1233641 於處理t 16之操作壓力較佳為❾1〇〇毫托至約3托, 更佳為約200毫托至約2托,且最佳為約毫托至約 h5托。甚者,處理室16可更包括取決於應用之另外的特 徵。舉例而言’—石英窗料可裝設,且—W光源係可 置放為鄰近於晶圓。該種非柱狀的光源可具有波長為類似 於UV準分子雷射,其已經證明為增強於整體剝離應用之 光阻移除’且如此可為平行於微波„產生反應氣體而運 用甚者,先行(Pre_)及後行(post-)光阻剝離而暴露至光源 亦可提供殘留物移除與植入光阻移除的優點。高架奵源 :先學蟑、氣體分析器、另外的光源與類似者亦可為獨立 :組合於處理室16而運用,以提供一極度彈性的處理平 排放組件構件18係耦接至處理室16。排放組件則 U包括一排放導管17〇,其為流體連通於處理室η之一 =區域。排放導f 17G之—人口172係流體附接至處: 至6之底板156的開口 158。排放導管17〇較佳為具有自 入口 172至出口 174之一實質直線的形狀,因而使得言 2域(例如:於導管之尖銳的彎曲與曲線)及對於尖銳彎 处之光阻材料與電漿灰化副產物之累積的傾向為最小。 於-個較佳實施例’排放㈣17〇係由石英或覆有 的石英所製造。排放導管170 (與開口 158)之最小直 mm灰化裝置而言較佳為至少約2英吋(針 mm電漿灰化裝置而言較佳為約丨.5英 著定位排放導…處理…中央,二更電大二: 27 1233641 排放組件之流動係簡化且提供較大 排放導…出…佳為連接1-二 。一後燃燒組件178係運作為連通於排放導管170。心 體入口 180與氣體源182係流體連通於排放導管170,且 為定位在後燃燒組件178之上游。後燃燒組#178係運用 二產士-電漿釋放物於排放導管17。之内,藉以揮發自該 处理室16所排放的光阻材料與電漿副產物。如將更 述於後文,氣體源182較佳為氣彳b ' ^佳為乳化乳體,諸如··氧氣或包 乳化氣體之氣體組合。較佳而言,氧化氣體係無南素。 =此方式’自該處理室至排放導管之流出物係混合於氧化 乳體源(例如:氧氣),且—含有氧的電漿係由後燃燒組件 Μ而自該混合物所形成,其方式係描述於後。較佳而言 ,氧化氣體係直接在該組件之上方而引人至後燃燒組件7 且:於處理室16之排放開σ 158的下游。氧氣進入至處 理室16係將以前述方式而有害地影響低介電材料。用於 產生電漿於排放導管之硬體與方法較佳為適以防止氧氣行 進於上為,即:防止返回至處理室。 後燃燒組件178較佳為包含一射頻線圈183,其纏繞 於排放導管170之一外部,以感應激發流通於排放導管 17()之一氣體混合物。雖然參考的係感應式耦接電漿混合 物與射頻功率以形成電漿,其他的方式係可有效運用,諸 如··藉著電容式激發或類似者。此外,係可運用包括微波 之ISM頻帶的其他頻率以激發該後燃燒器電漿。氧化氣體 較k為引入於入口 1 80,其位在後燃燒組件1 78之上游。 28 1233641 -節流閥m、前級管道(foreHne)閥(未顯示)、真空果μ 、與其他的真空處理線路係配置於後燃燒組件μ之下游 0 射頻線圈183係連接至一個適合的射頻產生器或電源 供應m電源供應器頻率係可變化為典型範圍自· 至13.56 MHz之較佳值且為於小於6()Q瓦㈤,而亦 可為於較高的頻率與較高的功率。更佳而言,係運用約 00瓦至、約500瓦之一射頻功率以感應耦接一含有氧物種 之電毀於排放導管17〇,致使於其中含有的有機物燃燒。 結果1方止及/或移除於處理室之下游的光阻材料與其他有 機副產物之沉積。 射頻連接典型為透過一射頻接線盒i88而作成,且線 圈m係於電栽灰化處理之開始而供以能量。通過輕接射 頻場之含有氧氣(02)的氣體混合物係產生一電漿釋放物, 其為有效且有效率地燃燒有機物質。較佳❿言,後燃燒組 件178係構成同時操作在基板11〇於處理室16之電漿灰 化處理期間。 此外’排放導管170 ,亦包括_光學偵測系统19〇。光 學偵測系統19〇係光學偵測具有特定的波長範圍之放射峰 值’其對應;^ f衆與光阻之間的反應之反應副產斗勿(與反應 物)。該種技術係仰賴於偵測來自於電漿的反應物與副產物 之特性光學輻射的放射強度變化。當電子自一較高能量的 狀態而鬆弛至一較低能量的狀態,激發的原子或分子係發 射光線。不同的化學化合物之原子與分子係發出一系列之 29 1233641 獨特的光譜線。電漿之各個化學化合物的放射強度係取決 於电漿的化學化合物之相對濃度。一種典型的光學放射光 希I置係藉著測量蝕刻氣體與反應物之反應性蝕刻氣體與 副產物之放射強度而操作。放射係減小且當該副產物不再 於觀視位置時而最終為停止,且係到達一終點。該種光學 放射光r»普裝置係感測该副產物之衰退的放射強度,以決定 此終點。或者,一旦於處理室係到達一個終點,光學放射 光%波置係可感測於反應物種之增加,使得可以運用於反 應物之增加或反之於產物放射之下降以觸發終點。有利而 言,可運用來自後燃燒組件178之釋放區域下游之光學訊 號,以明確指出何者發生於處理室之中的晶圓表面。舉例 而言,諸如氧之氧化劑係當電漿點火於排放導管17〇且產 生燃燒產物時而消耗。例如一氧化碳、二氧化石炭、水與類 似者之燃燒產物係典型遭遇於藉著含有氧的電漿釋放物之 光阻的電浆灰化㈣,而非典型為來自—無氧及無氮的電 漿釋放物。由於此等物種係放射強的光學放射訊號,藉著 分析於排放導管電漿釋放區域之後燃燒組件所產生的^學 可易於監視無氧及無氮的電漿方法的終點偵測。一 一所里視的物種之訊號係無法偵測到,可假定的是:終點 已經到達。如先前所論述,期望運用無氧及無氮的電毁方 、自έ有妷之低k介電質的基板而移除光阻遮罩與類似 者適用於本揭不内容之無氧及無氮的電浆方法係揭示於 審理中之Waldfried等人的美國專利申請案序號 55’ 1 77唬,其整體以參照方式而納入本文。本發明之 30 1233641 ^法及!置係提供—種用於終點偵測之機構,而鑒於—無 氧及無氮的電裝灰/(卜旁τ田# …、 处里,月間所產生之物種,其通常難 直接偵測於一處理室。 、*雞以 光子偵測系、统19〇係耗接至排放導管。聚集光學 m係可佈置在排放㈣17〇之外側以聚集因此通過:放 射先譜’透過射頻線圈183而直接朝向電聚產生區 於排放導管叫交佳為由—光學透明材料(諸如: 寶石)所製造,一光學遠.咖加〆 、义i 九予埠或®部係不需要。當一光學不 材料運用於排放導管之製造,石英或藍f石之 可形成於排放導管。一光譜計或單光計(概括顯示於第i圖 之194)係佈置以接收來自聚集光學器件μ之光線。光學 放射光譜與技術概括為眾所週知於此技藝。於一個實施例 ,㈣放射光譜技術係藉由一光譜計,諸如:基於電荷耦 接裝置(CCD,charge CQUple deviee)的光譜計或是基於光二 極體陣列(PDA,photodiode array)的光譜計,該時間上依序 記錄一波長範圍且轉換放射光譜成為類比訊號以供後續分 析。選用而言,係可運用窄頻帶的遽波器以允許評估於諸 如-個倍光管(PMT,沖⑽则叫心⑽e)或光二極體之一 種光線债測器的有興趣之特定範圍波長。光譜計時間上依 序轉換於後燃燒組件之燃燒處理期間所放射之特定波長的 光《至-電類比訊號’其可接著運用熟悉此技藝人士所 Q方法而刀析以產生一期望輸出。較佳而言,資料係 即時檢視。較佳而言,資料係檢視於圖像形式,其顯示針 對有興趣的波長範圍之於電漿處理期間所放射的光線強度 31 1233641 之時間演變。此外,可運用於光學感應的類比訊號之下降( 或取決於所監視的物種而為上升)以觸發於機器之事件。舉 例而言,由排放導管之光學偵測器所聚集的資料而決定灰 化終點已發生時,可經由一回授迴路而立即中斷電漿灰化 處理。 或者,其他的光學偵測器係可運用。舉例而言,如上 所論,-單光計係可運用以收集f料。如為熟悉此技藝人 士所習知,單光計係可為以一種倍光管、光二極體、或類 似者所構成,以記錄放射訊號。 鑒於此揭示内纟,於一電冑反應室之此等光學放射光 譜裝置與適合的架構對於熟悉此技藝人士而言將為顯明。 適用於本揭示内纟之一種單光計的一個實例係可商講自 Verity公司之型號Ep2〇〇MMD。適用於本揭示内容之一種 掃描單光計的一個實例係可商購自Verity公司之型號 EP200SMD。適用於本揭示内容之基於ccd㈣錯計之實 例係可商購自Verity公司之型號sm〇24、與可商購自 Ocean Optics公司之系列PC2〇〇〇 CCD光譜計。適用於本 揭示内容之-種光偵測器陣列的—個實例係可商購自德國 Prema公司之型號SPM9001。 較佳而吕’終點债測方法與裝置係運用於基板,盆中 ’低k材料係含有碳及/或氫於其結構,諸如:摻雜的氧化 物、多孔的材料、與有機的低k薄膜。含有碳之低k介電 材料可包括含有碳之附屬基團,或可為含有碳,其中,介 電材料之骨幹係主要為包含叙—互連網路。運用無氣及 32 1233641 且克服於先前技 之光阻、聚合物與 無氧的電漿之方法係提供高灰化選擇性 藝1¾生自基於兔及/或氫的低k介電材料 殘留物灰:匕:指出的問題。甚者’該種方法係減輕由於灰 化電漿之氮氣所引起的後續金屬填充問題。 灰化處理包括 物種,以及暴露一 之特定成分係由其 •自一電漿氣體混合物而產生反應性的 基板至反應性的物種。電漿氣體混合物 能力而選定,以在電漿形成條件之下而 形成-氣體與電漿。選擇的氣體混合物在電㈣成條件之 下係不具有產生反應性氧物種與反應性氮物種之成分。更 佳而言,氣體混合物係不含有氧之化合物及不含有氮之化 合物。氣體混合物可包括多個反應性的氣體,其為帶有氫 的氣體’諸如··氫氣、烴氣體、與類似者。氣體混合物可 更包含-惰性氣體,諸如·· 4、氦、氖與類似者。由氣體 此合物所產生的電漿係主要反應於光阻、聚合物與殘留物 之厌與其他原子,以形成在基板之溫度與愿力條件之下而 揮發的化合物及/或可沖洗移除的化合物。該種方法係最佳 化以具有大於5 〇 ·· 1之一選擇性。 適用於該種彳法之帶有纟的氣體係包括含有氫之彼等 化合物。帶有氫的氣體係包括:自、氫氣、或其混合物。 較佳之帶有氫的氣體係在電漿形成條件之下而存在於一種 氣態,且在電漿形成條件之下而釋放氫以形成反應性的氫 ’諸如:原子的氫物種、與其他的自由基。烴較佳為未取 代。適合之帶有氫的烴氣體之實例包括:甲炫、乙烧、愈 丙烷。 33 1233641 較佳之帶有氫的氣體係-種帶有氫的氣體與責氣體之 混合物。it用於本方法之貴氣體的實例係包括於週期表之 第八族的氣體’諸如士氖、氦、與類似者。雖然先前 技藝之無氧的電漿釋放物係概括運用包括氫與氮氣混合物 之一種形成氣體的組成物,氮氣之運用於本方法係明確排 由於形成氣體係於下文定義為含有氣氣與氮氣 的“物之-種氣體,形成氣體之運用於本方法係明確排 除。特別較佳為運用於本發明者係包括氫氣與氦氣之一種 亂體混合物。氦氣體原子係輕且易於擴散至基板,其造成 對於電漿產生的反應性氫物種之優越的載體特性。 ^為了安全之理由,於氣體混合物之氯氣的百分率係通 常為不超過氣體混合物之體積㈣5%。然而,較高量的 氫係可接受且有時為較佳以提高光阻移除率與選擇性。較 佳而言’於氣體混合物之氫的量係總體積之約1%至約 99/。。更佳而言’於氣體混合物之氫的量係總體積之約 10%至約 30%。 操作時,具有光阻及/或蝕刻後的殘留物於其上(與含 有碳的低k介電材料)之半導體晶圓110係以晶圓支撑銷而 置放至處理室16。晶圓110係較佳由紅外線燈16〇所加埶 丄以加速光阻及/或㈣後的殘留物與電漿之反應。於處理 至16之内的堡力係接著為降低。較佳而言,壓力係維持 於約1托至約5托之間。-可激發之無氧及無氮的氣體混 合物係饋人至純化器,W後經由氣體人σ 23至電黎產 生構件14之電漿管32。電漿產生構件14之各個分段26 34 1233641 、28、與30係饋入微波能量以激發一電聚於電聚管u 該電漿係由電中性和帶電的粒子所組成。帶電的粒佳 為在該電衆進入處理室16<前而選擇性移除、: 發或高能量的原子係績入至處理室且均勾分佈為跨於曰: ’於此’原子的氫係反應於光阻及/或㈣後的殘留物,复 引起光阻材料之移除且亦形成揮發性的副產物。光阻材料 與揮發性的副產物係連續掃除自晶圓表面至位在中央的排 放導管170。 ' 同時於電漿灰化,一氧化氣體係饋入至處理室16之下 游的排放導管170。沒有氧氣進入處理室16,歸因於自該 處理至至排放導官1 7G之較大許多的氦氫流率所施加之“ 栓塞·流動(plug-fl〇w),,條件。後燃燒組件178係供以能量 以形成高密度的電漿於排放導管n〇。針對構成具有基於 CCD的光譜計之-排放導管m,CCD光譜計時間上依序 記錄一放射光譜,其包括對應於若為存在之光阻材料與揮 發性副產物之放射訊號。所監視的放射光譜之波長範圍係 由所運用之CCD光譜計的型式與存在運用以排除某些波長 放射到達CCD光譜計之任何濾波器而決定。CCD光譜計 架構係同日守記錄背景輻射與來自於灰化處理期間之放射物 種的幸田射。運用熟悉此技藝人士所習知的標準演算法,背 景輕射係可自該電漿與光阻及/或副產物之反應所造成的輻 射而減去。一旦放射峰值係記錄到強度值的變化且符合由 終點演算法所設定的條件,光阻及/或殘留物之移除係完成 ,一訊號係接著送至一控制單元且可關掉電漿。真空係釋 35 1233641 放且處理後的晶圓係可自處理室而移出。運用可選擇的水 沖洗以移除於剝離後的晶圓上之任何其餘的殘留物。 於具有單光計之電漿灰化器’空白之未塗覆的晶圓係 先暴露於處理室16’且於一期望波長之一第一放射訊號係 測量於排放導管170。第一放射訊號係代表如上所論之背 景輻射。接著,具有光阻及/或殘留物於其上(與含有碳的 低k介電材料)之基板係暴露至處理室之電漿。放射於期望 波長之一弟二放射訊號係由單光計而記錄於排放導管。第 一放射訊號之背景輪射係自第二放射訊號而減去。當於期 望波長之第二放射訊號係達到一穩態且約為相同或低於第 一放射訊號,係於處理室16已經達到灰化終點,且一訊 號接著送至電漿灰化器1 〇之一控制單元而關掉電漿。真 空接著為釋放且所處理後的晶圓係移出自反應室。然後運 用可選擇的水沖洗以移除於剝離後的晶圓上之任何其餘的 殘留物。 雲於此揭示内容,用於監視自處理室所排放的電聚副 產物之其他的單光計、光譜計或類似架構與其操作係對於 熟悉此技藝人士而將為顯明。較佳而言’於約283⑽、約 3〇9阶約 387 11111、約431_、約 434 11111、約 468 咖、 約 472 nm、約 513 nm、約 516 nm、約 656 ⑽、約 _ ⑽ 、’勺777 nm、與約845 nm (±約5至約1〇 nm)之放射訊號 的-或多者係監視於排放導f 17〇。此等放射訊號係代表 由光阻材# &應物、光組成份混合物所形成的電漿副產 物 末自δ亥處理室之益翁芬紅备认、古山" …、虱及無氧的 出物、與後燃燒器組 36 1233641 件所產生的氧電漿釋放物之光譜峰值。舉例而言,相關於 二聚物(dimer) C2之所謂的“天鵝狀頻帶(swan band),,係顯 著於約513 nm與約517 nm。當加入氧化氣體至排放導管 ,可易於監視來自於約431 nm的CO/CH物種與於約387 nm的CN物種之放射訊號。由於多數的j線(Mine)光阻 係基於重氮蔡酷(diazonapthoquinone)化學物,監視CN之 放射訊號強度係對於j線光阻之灰化終點偵測而為相當有 用。甚者,放射訊號之強度係可增大或減小於排放導管, 其亦可運用以決定於處理室之灰化處理的終點。舉例而言 ’於處理室之光阻的電漿灰化期間,隨著光阻之灰化為接 近完成,強度係可針對關於約434 nm與於約656 nm之Η 、於約283 nm與3〇9 nm之〇Η、及於約777 nm之〇的放 射性物種而增大。以此方式,係可運用一種無氧及無氮的 電聚灰化處理以自含有碳的低k介電質之基板而移除光阻 刻後的殘留物,而一第二氧化電聚係形成於排放 70’以藉著監視於排放導管17〇之反應物及/或產物 的放射訊號,決定對於無氧及無氮的電浆之灰化级點。 除非另為指明,用於製造種種的構件i2m 18之材料包括··金屬、陶瓷、玻璃、 ^ 與包含上述材料的至少一者之。兴 ϋ料、 屬包括:陽極化的紹、及/或不錄二。;:而言,適合的金 ..、 錄鋼適合的陶瓷材料包括 .反4、或氧化鋁(例如:單晶體或多晶體)。 以下的實例係僅為針對說明示範目的所 圖限制本揭示内容之範脅。 _思 37 1233641 實例1 於此實例,針對0H與Η之光學訊號係監視於如第j 圖所示之一電漿灰化裝置之一後燃燒器的下游排放導管。 乱係以7000 sccm之流率且於15托之壓力而引入至電漿 裝置。含有光阻之一基板係暴露於加熱燈,以緩慢加熱晶 圓至攝氏300度且使得晶圓表面的有機物質揮發。此時, 無電漿產生於處理室。於5〇〇 £(w)的一射頻功率之後燃 燒杰的操作期間,氧氣係以1〇〇〇 sccm之流率而引入至排 放導官。並無氧氣引入至處理室。針對〇H與H之光學訊 唬係監視於排放導管且持續一段時間周期,以決定於處理 室之電漿灰化處理的終點。第9圖係圖示說明針對為一時 間函數之此等光學訊號的光線強度。隨著自晶圓所昇華之 有機物係氧化於後燃燒器而作觀察。由於不同的有機物種 係幵華於不同的溫度9 @所示之多個峰值係對應於不 同的溫度設定。若電漿係隨著晶圓加熱而同時運用於處理 至,相彳5的是,此等訊號(對應於Η與〇H)係均無法運用 於處理室之終點偵測,因為於處理室不存在針對此等物種 的結果之放射。 實例2 於此實例,C02係監視於如第J圖所示之電聚灰化裝 置的-排放導管。co2係由一殘留氣體分析器所監視,: 有或不具有由配置於排放導管之一後燃燒器所形成的電聚 。類似於實例1 ’ 一覆有光阻的晶圓係於處理室緩慢加熱 至攝氏300度且未暴露至電漿。氦係以7〇〇〇 sccm之流率 38 1233641 且於1.5托之壓力而引入至 率之後燃燒器的操作期間, 電漿裝置。於300瓦的射頻功 氣氣係以1 〇 〇 〇 s c c m之流率而 引入至排放導管 10圖係圖 並無氧氣引入至處理室。第 不說明為一時間函數之m μ立丄 』山双之c〇2的產生,其由於產生電漿於排 放導管而造成。若後辦岸罘在土、溶 、&為係未運用,則將造成無可偵測 的C02放射0然而,暴霡炎白泠 恭路木自處理室之有機物至後燃燒器 係造成強的C02放射。注咅的s _ . m _ 上^ /土忍的疋,於處理室之内,並無訊 c〇2,即使晶圓暴露至無氧及無氮的電漿(例如: 號放射自 由乱與氫氣體混合物所形成之電漿)亦然。 實例3 於此實例,係實行稀釋測試以決定最小之上游的氣流 率,以防止氧氣回流至處理室。氧氣係以1〇〇〇 sccm之流 率而引入至排放導管。一氦氣係初始以7〇〇〇 sccm之流率 而流入電漿裝置且逐步減低。殘留氣體分析係進行於後燃 燒益之上游,以監視氦、氮、與氧之部分的壓力。第i i 圖係圖示說明為時間與稀釋的一函數之氦、氮與氧的分壓 。於約175 seem之一氦流率,觀察到氧氣係回流至上游的 殘留氣體分析器’此將潛在有損於電漿灰化含有碳的低k 介電質。 實例4 於此實例’一覆有光阻之晶圓係缓慢加熱,而於處理 至有母分在里為7標準公升(slm,standard liters per minute) 的氦流量、與於後燃燒器之側饋入之i slm的〇2流量。觀 察反應物(0、CN)與產物(0H)之光學訊號的時間進展。隨 39 1233641 著晶圓開始加熱,揮發性的副產物係昇華且消耗於後燃燒 态。〇H訊號係上升以顯示此點,且具有於〇訊號之對應 的下降。此外,用以產生CN之碳現在用以作成與 C〇2 ’且具有於CN訊號之對應的下降。 實例5 於此實例,6000埃(A)之DUV光阻係塗覆於晶圓且處 理於類似於參考第丨圖所顯示及描述之一電漿灰化裝置。 於10 slm的流率之一 4%氫與96%氦(體積百分比)的氣體 混合物係引入產生電漿之電漿管。晶圓係暴露於處理室之 電水,且產生於其中之流出物係自處理室排放至排放導管 。相關於 CO/CH (431 nm)、C2 二聚物(517 腿)、H (656 nm)與He (668 nm)物種之波長係在通過激能的後燃燒器 之後而監視於排放導管。結果係顯示於第1 3圖。 如圖所示,氫/氦電漿灰化處理係耗費約30秒以移除 光阻材料。氫與氦物種係以一時間函數而增加,此指出此 等物種係逐漸不涉及於處理室的光阻材料之電漿灰化移除 。反之,C2二聚物與C0/CH放射性的物種係以一時間函 數而減少,此乃由於晶圓係清除了光阻而不再產生此等物 種》所監視的物種之任-者或組合係可提供一種強健的終 點測量方法。 實例6 於此實例,6000埃之DUV光阻係塗覆於晶圓且如於 實例5 —般處理於電t灰化裝置。於丨shn的流率之氧氣 係引入排放導管(即:後燃燒器組件,而未於處理室)以產 1233641 生-氧化電讓於排放導管,而無氧及無氮的電聚流出物係 由氫/氦灰化處理而產生於處理室。相關於c〇/ch (431 _)、C2二聚物(517 nm)、與〇H (3〇9㈣物種之波長係 在通過激旎的後燃燒器之後而監視於排放導管。於此實例 ,虛設(dummy)的晶圓(不具有光阻材料)亦暴露至相同的處 理。結果係顯示於第14圖。 於約20至約1 1 G秒’於虛設晶圓並無觀察到於放射強 度之任何變化。於、約185至約27〇秒,隨著光阻剝離,於 塗覆的晶圓觀察到放射訊號強度之變化。 實例7 方、此實例,塗覆以1·8微米之厚度的—I線(!七㈣光 阻係處理於類似參考第1圖所顯示及描述者之電漿灰化裝 置。於10 slm的流率之一 4%氣與96%氮(以體積之百分比 )的氣體混合物係引人產生電衆之電漿管。氧氣係以1 — 的流率而引入排放導管。相關於c〇/CH (43丨nm)、C2二 聚物(517 )、〇 (777 nm 與 845 _)、與 h (434 )㈣ 之波長係在通過激能的後燃燒器之後而監視於排放導管。 結果係顯示於第15圖。 對於EP與EP2之參照係指該四個軌跡之一個代數處 理’以提供較大的訊號對雜訊比。如圖所示,終則貞測係 可運用個別的波長或是藉著提供該四個軌跡之一演算法而 準確決定。在此,光阻係在% 1〇〇秒之電漿灰化處理後而 移除。 儘管揭不内容係已經參照一個較佳實施例而說明,熟 41 1233641 悉此技藝人士將瞭解的是,種種的變化係可作成且等效者 係可代替其元件而未偏離本發明之範疇。此外,諸多的修 改係可作成以適於本發明之揭示者的一特定情況或材料而 未偏離其根本的範疇。因此,意圖的是,本發明係不受限 於作為預期實施此發明的最佳模式所揭示之特定實施例, 而是本發明將包括歸屬於隨附的申請專利範圍之範疇内的 所有實施例。 【圖式簡單說明】 (一)圖式部分 參考耗例圖式,其中,相同的元件係同樣標號於數個 圖式: 第1圖係一種下游電漿灰化裝置的橫截面圖; 第2圖係顯示一種用於電漿灰化裝置之微波封殼的立 體圖; ^第3圖係顯示橫截面圖,其概要顯示一種適用於下游 電裝灰化裝置之電漿產生構件; 第4圖係顯示電漿灰化裝置的立體圖; —#第八5圖係一種光阻灰化器處理室的部分切除立體圖, 氣體刀配系統係安裝至光阻灰化器處理室; $ 6圖係根據一個實施例之氣體分配系統的平面圖; 弟 圖係弟6圖之播板組件的截面圖,沿著線7 _ 7所 取得; .第8圖係根據另一個實施例之氣體分配系統的平面圖 42 l23364l 第9圖係說明針斟, 進展圖,仙物種物種所發射之光線強度的時間 ΟΗ物種係由移除自一晶 產生,歸因於加執晶圓认 竿〕有機物貝所 声+ "固於一無氧及無氮的環境至摄戌 度之溫度且氧化於一雷將衣兄至攝氏300 ^ 电漿灰化裝置之一排放導管; ’ 1G®#H氧化碳分㈣時 殘留氣體分析器所測量於…辰圖其係以- 電漿灰化_署 > 、…、虱及無虱的電漿且氧化於一 水及化裝置之一排放導管; q第U圖係說明針對於後燃燒11與用於引人至 漿灰化裝置之一排放導瞢的a 巩虱至電 导的入口之上游(即··於晶圓處理宕 之下游)所測量的氦、_ ^ 、日日圓處理至 苴由 乱&與氧之分壓的殘留氣體分析圖, 具中,氦之流率係變動; =12圖係顯示於覆有光阻的晶圓之逐步加熱所產生的 圖“阻成分(0與CN)與產物(〇H)之光學訊號的時間進展 第13圖係- DUV光阻移除方法的時間進展圖,盆運 用氫/氦灰化處理而未運用氧化氣體於終點監視方法; 第14圖係-DUV光阻移除方法的時間進展圖,盆運 用氫/氦灰化處理且運用氧化氣體於終點監視方法;及 第15圖係一 I線式光阻移除方法的時間進展圖,其運 用氫/氦灰化處理且運用氧化氣體於終點監視方法。 (二)元件代表符號 1 〇 :電漿裝置(電漿灰化裝置) 12 :氣體傳送構件 14 :電漿產生構件 43 1233641 1 6 :處理室 1 8 :排放組件構件 20 :氣體純化器 22 :氣體源(氣體供應器) 23 :氣體入口 24 :微波封殼(微波結構) 26、28、30 :分段(分隔) 32 :電漿管 34 :外管 36 :隔膜板 38、40、42、44 :隔膜 46、48 :微波陷波器 50、52、54 :空氣密封/方向性饋送器 56 :磁電管 58 :耦接器 60、62 :波導分段 64 :柱塞 70 :端蓋 72 : Ο形環 74 :配件 76 :間隔物 78 :末端構件 80 :開口 100 :氣體分配系統(擋板組件) 44 1233641 102 :上擋板 104 :下擋板 106 :處理室16之下部 108 ··處理室腔部 110:基板(半導體晶圓) 112 :密封 114 :溝槽 116 :進入/退出通道 11 8 :孔 120、122 :孔洞 124、132 :内部冷卻通道 126 、 134 :入口 128 、 136 :出口 130 ;處理室之下部106的壁部 138 :下擋板104之外凸緣 140 :下擋板104之平坦部 144 :支座 146 ;安裝孔 152 :撞擊碟 154 :固定螺絲 1 5 6 :底板 158 :排放開口 160 :燈(陣列) 170 :排放導管 1233641 172 :導管170之入口 174 :導管170之出口 176 :真空系統(真空泵) 178 :後燃燒組件 180 :氣體入口 182 :氣體源 183 :射頻線圈 184 :節流閥 1 86 :射頻產生器或電源供應器 188 :射頻接線盒 190 :光學偵測系統 192 :聚集光學器件 194 :光譜計或單光計 46

Claims (1)

1233641 拾、申請專利範圍: 1 · 一種電漿灰化裝置,用於自一基板移除光阻及/或蝕 刻後的殘留物,包含: 一第一氣體源; 一電漿產生構件,流體連通於該第一氣體源,該電漿 產生構件係產生一第一電漿以自該基板選擇性移除光阻及/ 或蝕刻後的殘留物; 一處理室,流體連通於該電漿產生構件以接收電漿, 該處理室係容納該基板; 一排放導管,流體連通於該處理室,該排放導管包含 用於引入一第二氣體源之一埠’且一後燃燒組件為耦接至 β亥排放導官,該埠係配置於該處理室與後燃燒組件之中間 :及 尤子倡測糸統,耦接至該排放 "「Α守瓦且已兮聚集光 器件’其聚焦於該後燃燒組件之—電衆釋放區域内。 2:申請專利範圍第i項之電激灰化裝置,其中, ,,〇 , 乳體源與自該處理室所排. 勺/爪出物而產生一第二電喂 ^ 3·如申請專利範圍第丨項 再 第-氣體源係不含有包含氧 :Λ化裝置,其中,1 包含-氧化氣體。 I、氣的氣體’且該第二氣體〗 «3 Τ 予偵測系统所臣Α 斤i視的一波長為 4·如申請專利範圍 排放導管係由對於該光 透明之一材料而形成。 47 1233641 5·如申請專利範圍帛i項之電漿灰化I置,其中,該 後燃k組件包含:纏繞於該排放導管的一外部之一射頻線 圈i連通於邊射頻線圈之一配線盒、與電連通於該配線 盒之一電源供應器。 —6·如申請專利範圍帛"員之電漿灰化裝置,更包含: 即〆瓜閥與—前級管道閥,酉己置於該後燃燒組件之下游。 7.如申請專利範圍帛!項之電漿灰化裝置,其中,該 光學偵測系統係運用一簞杏呌 ^ 硬用f先心先谱計、或-頻帶選擇濾 波1§。 8·如申請專利範圍帛1項之電聚灰化裝置,更包含: -純化器,流體連通於該氣體源與電漿產生構件。 9·如申請專利範圍帛"員之電漿灰化裝置,其中,低 k介電質係含有碳及/或氫。 - 10·如申請專利範圍帛1項之電黎灰化裝置,其中,該 基板包含一含有碳及/或氫的絕緣層’其具有小於約' 3 5 : 一介電常數。 Π.—種下游電漿灰化及/或殘留物移除裝置,包含·· 用於產生一電漿於流體連通於一處理室的一排放3 之機構; S 用於監視針對產生於該電漿内之物種的一放射气 機構;及 〇 用於根據產生於該排放導管之放射訊號而決定於該處 理室的-基板之-電聚灰化及/或殘留物移除處理的_ = 之機構。 '' 48 1233641 12 · —種用於偵測無氧及無氮的電漿灰化處理的終點之 方法,包含: 暴露上面包含光阻材料及/或蝕刻後的殘留物之一基板 至一處理室之無氧及無氮的電漿; 自$亥基板移除光阻材料及/或餘刻後的殘留物; 自該處理室而排放被移除之光阻材料及/或蝕刻後的殘 留物至流體耦接至該處理室之一排放導管; 選擇性引入一氧化氣體至該排放導管; 由該氧化氣體與被排放之光阻材料及/或蝕刻後的殘留 物而產生一電漿,以形成放射性物種;及 光學監視由放射性物種所產生的一放射訊號,以決定 該無氧及無氮的電漿灰化處理的終點。 1 3 ·如申睛專利範圍第12項之方法,其中,由放射性 物種所產生的放射訊號係監視於包含約283 nm、約309 nm、約 387 nm、約 431 nm、約 434 nm、約 468 nm、約 472 nm、約 513 nm、約 516 nm、約 656 nm、約 668 nm、 約777 nm及/或約845 nm之一或多個波長。 14·如申請專利範圍第12項之方法,其中,暴露基板 至處理室之無氧及無氮的電漿之步驟與產生氧電漿於排放 導管之步驟係同時發生。 1 5 ·如申請專利範圍第12項之方法,其中,該基板包 含一含有碳及/或氫的絕緣層,其具有小於約3 · 5之一介電 常數。 16·如申請專利範圍第12項之方法,其中,無氧及無 49 1233641 氮的電漿灰化處理係包含:自包含氫或氦或是包含前述氣 體之至少一者的一組合之一氣體混合物而產生電漿。 17.如申請專利範圍第12項之方法,更包含:防止該 氧化氣體回流至該處理室。 1 8. —種終點偵測方法,用於自一基板而移除光阻及/ 或殘留物之一無氧及無氮的電漿灰化處理,該種方法包含 引入一氧化氣體與一電漿灰化釋放物至一電漿灰化裝 置之一排放導管,其中,該電漿灰化釋放物係包含光阻材 料、蝕刻後的殘留物、與灰化後的產物,且該電漿灰化釋 放物係無氮及無氧的物種; 自該氧化氣體與電漿灰化釋放物而產生一電漿,以形 成放射性物種;及 光學監視相關於放射性物種之放射訊號強度,其中, 當相關於放射性物種之放射訊號強度係實質變化至大於或 小於一預定臨限之一量,則偵測到該無氧及無氮的電漿灰 化處理之一終點。 19.如申請專利範圍第a項之方法,其中,該放射訊 號強度係波長為於約283 nm、約309 nm、約387 nm、約 431 nm、約 434 nm、約 468 nm、約 472 nm、約 513 nm、 約 516 nm、約 656 nm、約 668 nm、約 777 nm、約 845 nm 或前述波長之至少一者的一組合。 20·如申請專利範圍第18項之方法,其中,該基板包 含一含有碳及/或氫的絕緣層,其具有小於約3·5之一介電 50 1233641 常數。 •如申請專利謂18項之方法,其中,該氧化氣 體包含氧。 22.如申請專利範圍第18項之方法,其中,光學監視 放射性物種之步驟包含:聚焦一光學偵測器之聚集器 件於來自邊氧化氣體與電漿灰化釋放物之電漿的一電漿釋 放區域戒其附近。 23 · —種用於決定無氧及無氮的電漿灰化處理的終點之 方法,電漿灰化處理係運用於自含有碳的低k介電材料之 一基板而剝離光阻材料,該種方法包含: 暴露該基板於一處理室之無氧及無氮的電漿灰化處理 ,以自該基板而移除光阻材料且形成揮發性的副產物; 自該處理室而排放該光阻材料與揮發性的副產物至一 排放導管; 選擇性引入一氧化氣體至該排放導管,該氧化氣體係 未流入該處理室; 由該氧化氣體、被排放的光阻材料、與揮發性的副產 物而產生一電漿於該排放導管; 測量於該排放導管之一放射訊號強度,其為相關於約 283 nm、約 309 nm、約 387 nm、約 431 nm、約 434 nm、 約 468 nm、約 472 nm、約 513 nm、約 516 nm、約 656 nm 、約668 nm、約777 nm、約845 nm之波長或是前述波長 之至少一者的一組合;及 響應於該排放導管的放射訊號之一觀察到的變化,決 51 1233641 定該無氧及無氮的電漿灰化處理之終點,。 24·—種用於決定無氧及無氮的電漿灰化處理的終點之 方法,電漿灰化處理係運用於自含有碳的低k介電材料之 一基板而剝離光阻材料及/或殘留物,該種方法包含·· 於不存在氧與氮下,由包含氫或氦或是包含前述氣體 之至夕者的組合之一氣體混合物而產生一第一電聚於一 處理室; 暴露設置於該處理室之基板至第一電漿,以自該基板 遠擇性移除光阻材料及/或殘留物; 排放來自該處理室之被移除的光阻材料及/或殘留物至 一排放導管; 產生一第二電漿於該排放導管,以產生放射性的物種 ;及 光學監視放射性的物種,其中,當放射性的物種之一 強度係變化至大於或小於一預定臨限之一量,則偵測到第 一電漿之一終點。 25.如申請專利範圍第24項之方法’其中,產生第二 電漿之步驟包含:引入一氧化氣體至該排放導管,該氧化 氣體係未流動至該處理室。 26·如申請專利範圍第24項之方法,其中,第二電漿 係沒有一氧化氣體。 27.如申請專利範圍第24項之方法,其中,光學監視 放射性物種之步驟包含:監視相關於存在於該處理室的反 應物種之放射性物種的波長。 52 1233641 28.如申請專利範圍第24項之方法,其中,光學監視 放射性物種之步驟包含:監視放射性物種之波長,光學監 視於第二電漿與被移除的光阻材料及/或殘留物之間的一反 應所產生之物種。 拾壹、圖式: 如次頁 53
TW093114397A 2003-05-22 2004-05-21 Plasma ashing apparatus and endpoint detection process TWI233641B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/249,964 US20040235299A1 (en) 2003-05-22 2003-05-22 Plasma ashing apparatus and endpoint detection process

Publications (2)

Publication Number Publication Date
TW200503087A TW200503087A (en) 2005-01-16
TWI233641B true TWI233641B (en) 2005-06-01

Family

ID=33449402

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093114397A TWI233641B (en) 2003-05-22 2004-05-21 Plasma ashing apparatus and endpoint detection process

Country Status (8)

Country Link
US (2) US20040235299A1 (zh)
EP (1) EP1625610B1 (zh)
JP (1) JP4788917B2 (zh)
KR (1) KR101227199B1 (zh)
CN (1) CN1802722B (zh)
DE (1) DE602004016147D1 (zh)
TW (1) TWI233641B (zh)
WO (1) WO2004107413A2 (zh)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI240326B (en) * 2002-10-31 2005-09-21 Tokyo Electron Ltd Method and apparatus for determining an etch property using an endpoint signal
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7794663B2 (en) * 2004-02-19 2010-09-14 Axcelis Technologies, Inc. Method and system for detection of solid materials in a plasma using an electromagnetic circuit
DE102004042431B4 (de) * 2004-08-31 2008-07-03 Schott Ag Verfahren und Vorrichtung zur Plasmabeschichtung von Werkstücken mit spektraler Auswertung der Prozessparameter und Verwendung der Vorrichtung
JP5115798B2 (ja) * 2004-09-01 2013-01-09 アクセリス テクノロジーズ インコーポレーテッド フォトレジストの除去速度を増加する装置及びプラズマアッシング方法
US7242007B2 (en) * 2004-10-01 2007-07-10 Honeywell International Inc. Small-gap light sensor
US20060137711A1 (en) * 2004-12-27 2006-06-29 Kun-Yuan Liao Single-wafer cleaning procedure
US20070272270A1 (en) * 2004-12-27 2007-11-29 Kun-Yuan Liao Single-wafer cleaning procedure
DE102005035772A1 (de) * 2005-07-29 2007-02-01 Advanced Micro Devices, Inc., Sunnyvale Technik zum effizienten Strukturieren einer Höckerunterseitenmetallisierungsschicht unter Anwendung eines Trockenätzprozesses
US7642195B2 (en) * 2005-09-26 2010-01-05 Applied Materials, Inc. Hydrogen treatment to improve photoresist adhesion and rework consistency
US7381651B2 (en) * 2006-03-22 2008-06-03 Axcelis Technologies, Inc. Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process
US8932430B2 (en) 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
JP4792369B2 (ja) * 2006-10-13 2011-10-12 東京エレクトロン株式会社 基板処理装置、及び基板処理の終点検出方法
KR100829603B1 (ko) * 2006-11-23 2008-05-14 삼성전자주식회사 에어 갭을 갖는 반도체 소자의 제조 방법
KR20100065321A (ko) * 2007-08-07 2010-06-16 피포탈 시스템즈 코포레이션 가스의 화학적 조성을 확인하는 방법 및 장치
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US9997325B2 (en) 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
US8603243B2 (en) * 2008-07-31 2013-12-10 The United States Of America, As Represented By The Secretary Of The Navy Tracking carbon to silicon ratio in situ during silicon carbide growth
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US20110108058A1 (en) * 2009-11-11 2011-05-12 Axcelis Technologies, Inc. Method and apparatus for cleaning residue from an ion source component
US20110232677A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
KR101796656B1 (ko) 2010-04-30 2017-11-13 어플라이드 머티어리얼스, 인코포레이티드 수직 인라인 화학기상증착 시스템
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
JP6060242B2 (ja) * 2010-11-30 2017-01-11 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びバッフル構造
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
WO2012148370A1 (en) * 2011-04-27 2012-11-01 Axcelis Technologies, Inc. Substantially non-oxidizing plasma treatment devices and processes
KR101874901B1 (ko) 2011-12-07 2018-07-06 삼성전자주식회사 기판 건조 장치 및 방법
US9867238B2 (en) * 2012-04-26 2018-01-09 Applied Materials, Inc. Apparatus for treating an exhaust gas in a foreline
JP2014007370A (ja) * 2012-06-01 2014-01-16 Tokyo Electron Ltd プラズマエッチング方法
KR101296958B1 (ko) * 2012-09-10 2013-08-14 세종대학교산학협력단 플라즈마 입자 촬상 장치 및 이를 이용한 식각 종말점 탐지 방법
US9064948B2 (en) 2012-10-22 2015-06-23 Globalfoundries Inc. Methods of forming a semiconductor device with low-k spacers and the resulting device
US10249509B2 (en) 2012-11-09 2019-04-02 Tokyo Electron Limited Substrate cleaning method and system using atmospheric pressure atomic oxygen
KR101359320B1 (ko) * 2012-12-27 2014-02-10 한국기초과학지원연구원 전자파-고주파 혼성 플라즈마 토치
KR102376429B1 (ko) 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
CN104377107A (zh) * 2014-09-24 2015-02-25 上海华力微电子有限公司 一种用于SiCoNi蚀刻工艺的蚀刻装置
US10008396B2 (en) 2014-10-06 2018-06-26 Lam Research Corporation Method for collapse-free drying of high aspect ratio structures
US10068781B2 (en) * 2014-10-06 2018-09-04 Lam Research Corporation Systems and methods for drying high aspect ratio structures without collapse using sacrificial bracing material that is removed using hydrogen-rich plasma
CN104797072B (zh) * 2015-04-16 2018-06-19 大连交通大学 一种电感耦合式射频等离子体源
JP6661283B2 (ja) 2015-05-14 2020-03-11 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理方法
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
KR102477302B1 (ko) 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
KR101904274B1 (ko) * 2016-05-17 2018-10-05 (주)티티에스 기판 처리 장치 및 이를 이용한 플라즈마 처리 방법
US10267728B2 (en) * 2016-09-28 2019-04-23 Lam Research Corporation Systems and methods for detecting oxygen in-situ in a substrate area of a substrate processing system
US20180143332A1 (en) * 2016-11-18 2018-05-24 Plasma-Therm Llc Ion Filter
KR102185315B1 (ko) * 2016-12-09 2020-12-01 어플라이드 머티어리얼스, 인코포레이티드 포어라인 고체 형성 정량화를 위한 수정 진동자 마이크로밸런스 활용
WO2018111333A1 (en) * 2016-12-14 2018-06-21 Mattson Technology, Inc. Atomic layer etch process using plasma in conjunction with a rapid thermal activation process
CN107015445A (zh) * 2017-03-27 2017-08-04 武汉新芯集成电路制造有限公司 半导体结构表面处理方法
US11020778B2 (en) * 2018-07-12 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist removal method using residue gas analyzer
US10854433B2 (en) * 2018-11-30 2020-12-01 Applied Materials, Inc. In-situ real-time plasma chamber condition monitoring
CN109616405A (zh) * 2018-12-05 2019-04-12 上海华力微电子有限公司 半导体刻蚀工艺真空腔体设备及刻蚀方法
CN111837220B (zh) * 2019-02-15 2023-07-25 株式会社日立高新技术 气体成分的监视方法及其装置、以及使用了其的处理装置
WO2021087178A1 (en) * 2019-10-29 2021-05-06 Hzo, Inc. Plasma ashing for coated devices
WO2021092267A1 (en) * 2019-11-05 2021-05-14 Hzo, Inc. Sensor apparatus and plasma ashing system
CN113394074A (zh) * 2020-03-11 2021-09-14 长鑫存储技术有限公司 半导体结构的处理方法
WO2021263059A1 (en) * 2020-06-24 2021-12-30 Hzo, Inc. Gasketing and plasma ashing for coated devices
WO2023122371A1 (en) * 2021-12-20 2023-06-29 Massachusetts Institute Of Technology Continuous emissions monitor for directed-energy borehole drilling

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
JPS5884431A (ja) * 1981-11-13 1983-05-20 Nec Corp プラズマエツチング装置
JPH0722151B2 (ja) * 1984-05-23 1995-03-08 株式会社日立製作所 エツチングモニタ−方法
US4773355A (en) * 1985-06-10 1988-09-27 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition
JPS62294180A (ja) 1986-06-13 1987-12-21 Canon Inc プラズマcvd法による堆積膜形成装置
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH03147318A (ja) * 1989-11-01 1991-06-24 Hitachi Ltd エッチング終点判定装置
US5212116A (en) * 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
US5356673A (en) * 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
US5498308A (en) * 1994-02-25 1996-03-12 Fusion Systems Corp. Plasma asher with microwave trap
JP2872637B2 (ja) * 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US5552017A (en) * 1995-11-27 1996-09-03 Taiwan Semiconductor Manufacturing Company Method for improving the process uniformity in a reactor by asymmetrically adjusting the reactant gas flow
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6471822B1 (en) * 1996-01-24 2002-10-29 Applied Materials, Inc. Magnetically enhanced inductively coupled plasma reactor with magnetically confined plasma
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5961851A (en) * 1996-04-02 1999-10-05 Fusion Systems Corporation Microwave plasma discharge device
US5880850A (en) * 1996-04-18 1999-03-09 American Air Liquide Inc Method and system for sensitive detection of molecular species in a vacuum by harmonic detection spectroscopy
US5986275A (en) * 1996-06-07 1999-11-16 The University Of Virginia Patent Foundation Reusable shielded marker for nuclear medicine imaging
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5928426A (en) * 1996-08-08 1999-07-27 Novellus Systems, Inc. Method and apparatus for treating exhaust gases from CVD, PECVD or plasma etch reactors
JP2000501573A (ja) * 1996-09-24 2000-02-08 フュージョン システムズ コーポレイション サファイヤダウンストリームプラズマアッシャーにおける弗素援助型剥離及び残留物除去
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5994678A (en) * 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US5968275A (en) * 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
JP4147608B2 (ja) * 1998-03-06 2008-09-10 東京エレクトロン株式会社 熱処理装置
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6190507B1 (en) * 1998-07-24 2001-02-20 The United States Of America As Represented By The Department Of Energy Method for generating a highly reactive plasma for exhaust gas aftertreatment and enhanced catalyst reactivity
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
JP2000091306A (ja) * 1998-09-15 2000-03-31 Japan Science & Technology Corp シリコン表面の平坦化方法
US5986747A (en) * 1998-09-24 1999-11-16 Applied Materials, Inc. Apparatus and method for endpoint detection in non-ionizing gaseous reactor environments
US6368567B2 (en) * 1998-10-07 2002-04-09 Applied Materials, Inc. Point-of-use exhaust by-product reactor
US6366346B1 (en) * 1998-11-19 2002-04-02 Applied Materials, Inc. Method and apparatus for optical detection of effluent composition
US6263830B1 (en) * 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
US6422002B1 (en) * 1999-07-23 2002-07-23 The United States Of America As Represented By The United States Department Of Energy Method for generating a highly reactive plasma for exhaust gas aftertreatment and enhanced catalyst reactivity
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6492186B1 (en) * 1999-08-05 2002-12-10 Eaton Corporation Method for detecting an endpoint for an oxygen free plasma process
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
JP2001085410A (ja) * 1999-09-14 2001-03-30 Fujitsu Quantum Devices Ltd エッチング方法及びエッチング装置
US6259072B1 (en) * 1999-11-09 2001-07-10 Axcelis Technologies, Inc. Zone controlled radiant heating system utilizing focused reflector
US6451158B1 (en) * 1999-12-21 2002-09-17 Lam Research Corporation Apparatus for detecting the endpoint of a photoresist stripping process
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6783627B1 (en) * 2000-01-20 2004-08-31 Kokusai Semiconductor Equipment Corporation Reactor with remote plasma system and method of processing a semiconductor substrate
US6367412B1 (en) * 2000-02-17 2002-04-09 Applied Materials, Inc. Porous ceramic liner for a plasma source
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6391146B1 (en) * 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
US6592817B1 (en) * 2000-03-31 2003-07-15 Applied Materials, Inc. Monitoring an effluent from a chamber
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6537419B1 (en) 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
DE10025699A1 (de) * 2000-05-23 2001-12-06 Merck Patent Gmbh Emulgier- und Trennvorrichtung für flüssige Phasen
US6633391B1 (en) * 2000-11-07 2003-10-14 Applied Materials, Inc Monitoring of film characteristics during plasma-based semi-conductor processing using optical emission spectroscopy
US6538734B2 (en) * 2000-11-29 2003-03-25 Lightwind Corporation Method and device utilizing real-time gas sampling
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US20020144706A1 (en) * 2001-04-10 2002-10-10 Davis Matthew F. Remote plasma cleaning of pumpstack components of a reactor chamber
US6630406B2 (en) * 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
US6562700B1 (en) * 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
JP2003158080A (ja) * 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith

Also Published As

Publication number Publication date
JP4788917B2 (ja) 2011-10-05
WO2004107413A2 (en) 2004-12-09
JP2007501534A (ja) 2007-01-25
WO2004107413A3 (en) 2006-02-16
EP1625610B1 (en) 2008-08-27
US20100055807A1 (en) 2010-03-04
KR20060017806A (ko) 2006-02-27
KR101227199B1 (ko) 2013-01-28
CN1802722A (zh) 2006-07-12
DE602004016147D1 (de) 2008-10-09
EP1625610A2 (en) 2006-02-15
TW200503087A (en) 2005-01-16
US20040235299A1 (en) 2004-11-25
US8268181B2 (en) 2012-09-18
CN1802722B (zh) 2010-09-29

Similar Documents

Publication Publication Date Title
TWI233641B (en) Plasma ashing apparatus and endpoint detection process
JP4780411B2 (ja) プラズマ処理装置および処理方法
TWI239390B (en) Method for detecting an endpoint for an oxygen free plasma process
TWI253702B (en) Monitoring an effluent from a chamber
JP5115798B2 (ja) フォトレジストの除去速度を増加する装置及びプラズマアッシング方法
TW557477B (en) Plasma ashing process
KR20070029085A (ko) 저유전상수 유전 물질로부터 포토레지스트 및 에칭 후잔여물을 제거하기 위한 가스 혼합물 및 그 사용 방법
JPWO2008026531A1 (ja) プラズマ酸化処理方法
JPH05275326A (ja) レジストのアッシング方法
JP4873215B2 (ja) プラズマ内で固体物質を検出するための材料検出システム及び半導体材料除去システム
US7393460B2 (en) Plasma processing method and plasma processing apparatus
WO2012148370A1 (en) Substantially non-oxidizing plasma treatment devices and processes
US20130160793A1 (en) Plasma generating apparatus and process for simultaneous exposure of a workpiece to electromagnetic radiation and plasma
Hynes The application of pulsed plasmas towards controlled surface functionalisation
TW201246332A (en) Substantially non-oxidizing plasma treatment devices and processes

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees