DE602004016147D1 - Plasma-ashing-vorrichtung mit endpunktdetektionssystem - Google Patents

Plasma-ashing-vorrichtung mit endpunktdetektionssystem

Info

Publication number
DE602004016147D1
DE602004016147D1 DE602004016147T DE602004016147T DE602004016147D1 DE 602004016147 D1 DE602004016147 D1 DE 602004016147D1 DE 602004016147 T DE602004016147 T DE 602004016147T DE 602004016147 T DE602004016147 T DE 602004016147T DE 602004016147 D1 DE602004016147 D1 DE 602004016147D1
Authority
DE
Germany
Prior art keywords
detection system
point detection
plasma ashing
final point
ashing device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE602004016147T
Other languages
English (en)
Inventor
Aseem Srivastava
Palanikumaran Sakthivel
Thomas Buckley
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Axcelis Technologies Inc
Original Assignee
Axcelis Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Axcelis Technologies Inc filed Critical Axcelis Technologies Inc
Publication of DE602004016147D1 publication Critical patent/DE602004016147D1/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)
DE602004016147T 2003-05-22 2004-05-21 Plasma-ashing-vorrichtung mit endpunktdetektionssystem Expired - Lifetime DE602004016147D1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/249,964 US20040235299A1 (en) 2003-05-22 2003-05-22 Plasma ashing apparatus and endpoint detection process
PCT/US2004/016075 WO2004107413A2 (en) 2003-05-22 2004-05-21 Plasma ashing apparatus and endpoint detection process

Publications (1)

Publication Number Publication Date
DE602004016147D1 true DE602004016147D1 (de) 2008-10-09

Family

ID=33449402

Family Applications (1)

Application Number Title Priority Date Filing Date
DE602004016147T Expired - Lifetime DE602004016147D1 (de) 2003-05-22 2004-05-21 Plasma-ashing-vorrichtung mit endpunktdetektionssystem

Country Status (8)

Country Link
US (2) US20040235299A1 (de)
EP (1) EP1625610B1 (de)
JP (1) JP4788917B2 (de)
KR (1) KR101227199B1 (de)
CN (1) CN1802722B (de)
DE (1) DE602004016147D1 (de)
TW (1) TWI233641B (de)
WO (1) WO2004107413A2 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107941757A (zh) * 2016-09-28 2018-04-20 朗姆研究公司 原位检测衬底处理系统的衬底区域中的氧的系统和方法

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI240326B (en) * 2002-10-31 2005-09-21 Tokyo Electron Ltd Method and apparatus for determining an etch property using an endpoint signal
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7794663B2 (en) * 2004-02-19 2010-09-14 Axcelis Technologies, Inc. Method and system for detection of solid materials in a plasma using an electromagnetic circuit
DE102004042431B4 (de) * 2004-08-31 2008-07-03 Schott Ag Verfahren und Vorrichtung zur Plasmabeschichtung von Werkstücken mit spektraler Auswertung der Prozessparameter und Verwendung der Vorrichtung
JP5115798B2 (ja) * 2004-09-01 2013-01-09 アクセリス テクノロジーズ インコーポレーテッド フォトレジストの除去速度を増加する装置及びプラズマアッシング方法
US7242007B2 (en) * 2004-10-01 2007-07-10 Honeywell International Inc. Small-gap light sensor
US20060137711A1 (en) * 2004-12-27 2006-06-29 Kun-Yuan Liao Single-wafer cleaning procedure
US20070272270A1 (en) * 2004-12-27 2007-11-29 Kun-Yuan Liao Single-wafer cleaning procedure
DE102005035772A1 (de) * 2005-07-29 2007-02-01 Advanced Micro Devices, Inc., Sunnyvale Technik zum effizienten Strukturieren einer Höckerunterseitenmetallisierungsschicht unter Anwendung eines Trockenätzprozesses
US7642195B2 (en) * 2005-09-26 2010-01-05 Applied Materials, Inc. Hydrogen treatment to improve photoresist adhesion and rework consistency
US7381651B2 (en) * 2006-03-22 2008-06-03 Axcelis Technologies, Inc. Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process
US8932430B2 (en) 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
JP4792369B2 (ja) * 2006-10-13 2011-10-12 東京エレクトロン株式会社 基板処理装置、及び基板処理の終点検出方法
KR100829603B1 (ko) * 2006-11-23 2008-05-14 삼성전자주식회사 에어 갭을 갖는 반도체 소자의 제조 방법
KR20100065321A (ko) * 2007-08-07 2010-06-16 피포탈 시스템즈 코포레이션 가스의 화학적 조성을 확인하는 방법 및 장치
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US9997325B2 (en) 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
US8603243B2 (en) * 2008-07-31 2013-12-10 The United States Of America, As Represented By The Secretary Of The Navy Tracking carbon to silicon ratio in situ during silicon carbide growth
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US20110108058A1 (en) * 2009-11-11 2011-05-12 Axcelis Technologies, Inc. Method and apparatus for cleaning residue from an ion source component
US20110232677A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
KR101796656B1 (ko) 2010-04-30 2017-11-13 어플라이드 머티어리얼스, 인코포레이티드 수직 인라인 화학기상증착 시스템
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
JP6060242B2 (ja) * 2010-11-30 2017-01-11 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びバッフル構造
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
WO2012148370A1 (en) * 2011-04-27 2012-11-01 Axcelis Technologies, Inc. Substantially non-oxidizing plasma treatment devices and processes
KR101874901B1 (ko) 2011-12-07 2018-07-06 삼성전자주식회사 기판 건조 장치 및 방법
US9867238B2 (en) * 2012-04-26 2018-01-09 Applied Materials, Inc. Apparatus for treating an exhaust gas in a foreline
JP2014007370A (ja) * 2012-06-01 2014-01-16 Tokyo Electron Ltd プラズマエッチング方法
KR101296958B1 (ko) * 2012-09-10 2013-08-14 세종대학교산학협력단 플라즈마 입자 촬상 장치 및 이를 이용한 식각 종말점 탐지 방법
US9064948B2 (en) 2012-10-22 2015-06-23 Globalfoundries Inc. Methods of forming a semiconductor device with low-k spacers and the resulting device
US10249509B2 (en) 2012-11-09 2019-04-02 Tokyo Electron Limited Substrate cleaning method and system using atmospheric pressure atomic oxygen
KR101359320B1 (ko) * 2012-12-27 2014-02-10 한국기초과학지원연구원 전자파-고주파 혼성 플라즈마 토치
KR102376429B1 (ko) 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
CN104377107A (zh) * 2014-09-24 2015-02-25 上海华力微电子有限公司 一种用于SiCoNi蚀刻工艺的蚀刻装置
US10008396B2 (en) 2014-10-06 2018-06-26 Lam Research Corporation Method for collapse-free drying of high aspect ratio structures
US10068781B2 (en) * 2014-10-06 2018-09-04 Lam Research Corporation Systems and methods for drying high aspect ratio structures without collapse using sacrificial bracing material that is removed using hydrogen-rich plasma
CN104797072B (zh) * 2015-04-16 2018-06-19 大连交通大学 一种电感耦合式射频等离子体源
JP6661283B2 (ja) 2015-05-14 2020-03-11 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理方法
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
KR102477302B1 (ko) 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
KR101904274B1 (ko) * 2016-05-17 2018-10-05 (주)티티에스 기판 처리 장치 및 이를 이용한 플라즈마 처리 방법
US20180143332A1 (en) * 2016-11-18 2018-05-24 Plasma-Therm Llc Ion Filter
KR102185315B1 (ko) * 2016-12-09 2020-12-01 어플라이드 머티어리얼스, 인코포레이티드 포어라인 고체 형성 정량화를 위한 수정 진동자 마이크로밸런스 활용
WO2018111333A1 (en) * 2016-12-14 2018-06-21 Mattson Technology, Inc. Atomic layer etch process using plasma in conjunction with a rapid thermal activation process
CN107015445A (zh) * 2017-03-27 2017-08-04 武汉新芯集成电路制造有限公司 半导体结构表面处理方法
US11020778B2 (en) * 2018-07-12 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist removal method using residue gas analyzer
US10854433B2 (en) * 2018-11-30 2020-12-01 Applied Materials, Inc. In-situ real-time plasma chamber condition monitoring
CN109616405A (zh) * 2018-12-05 2019-04-12 上海华力微电子有限公司 半导体刻蚀工艺真空腔体设备及刻蚀方法
CN111837220B (zh) * 2019-02-15 2023-07-25 株式会社日立高新技术 气体成分的监视方法及其装置、以及使用了其的处理装置
WO2021087178A1 (en) * 2019-10-29 2021-05-06 Hzo, Inc. Plasma ashing for coated devices
WO2021092267A1 (en) * 2019-11-05 2021-05-14 Hzo, Inc. Sensor apparatus and plasma ashing system
CN113394074A (zh) * 2020-03-11 2021-09-14 长鑫存储技术有限公司 半导体结构的处理方法
WO2021263059A1 (en) * 2020-06-24 2021-12-30 Hzo, Inc. Gasketing and plasma ashing for coated devices
WO2023122371A1 (en) * 2021-12-20 2023-06-29 Massachusetts Institute Of Technology Continuous emissions monitor for directed-energy borehole drilling

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
JPS5884431A (ja) * 1981-11-13 1983-05-20 Nec Corp プラズマエツチング装置
JPH0722151B2 (ja) * 1984-05-23 1995-03-08 株式会社日立製作所 エツチングモニタ−方法
US4773355A (en) * 1985-06-10 1988-09-27 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition
JPS62294180A (ja) 1986-06-13 1987-12-21 Canon Inc プラズマcvd法による堆積膜形成装置
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH03147318A (ja) * 1989-11-01 1991-06-24 Hitachi Ltd エッチング終点判定装置
US5212116A (en) * 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
US5356673A (en) * 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
US5498308A (en) * 1994-02-25 1996-03-12 Fusion Systems Corp. Plasma asher with microwave trap
JP2872637B2 (ja) * 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US5552017A (en) * 1995-11-27 1996-09-03 Taiwan Semiconductor Manufacturing Company Method for improving the process uniformity in a reactor by asymmetrically adjusting the reactant gas flow
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6471822B1 (en) * 1996-01-24 2002-10-29 Applied Materials, Inc. Magnetically enhanced inductively coupled plasma reactor with magnetically confined plasma
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5961851A (en) * 1996-04-02 1999-10-05 Fusion Systems Corporation Microwave plasma discharge device
US5880850A (en) * 1996-04-18 1999-03-09 American Air Liquide Inc Method and system for sensitive detection of molecular species in a vacuum by harmonic detection spectroscopy
US5986275A (en) * 1996-06-07 1999-11-16 The University Of Virginia Patent Foundation Reusable shielded marker for nuclear medicine imaging
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5928426A (en) * 1996-08-08 1999-07-27 Novellus Systems, Inc. Method and apparatus for treating exhaust gases from CVD, PECVD or plasma etch reactors
JP2000501573A (ja) * 1996-09-24 2000-02-08 フュージョン システムズ コーポレイション サファイヤダウンストリームプラズマアッシャーにおける弗素援助型剥離及び残留物除去
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5994678A (en) * 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US5968275A (en) * 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
JP4147608B2 (ja) * 1998-03-06 2008-09-10 東京エレクトロン株式会社 熱処理装置
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6190507B1 (en) * 1998-07-24 2001-02-20 The United States Of America As Represented By The Department Of Energy Method for generating a highly reactive plasma for exhaust gas aftertreatment and enhanced catalyst reactivity
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
JP2000091306A (ja) * 1998-09-15 2000-03-31 Japan Science & Technology Corp シリコン表面の平坦化方法
US5986747A (en) * 1998-09-24 1999-11-16 Applied Materials, Inc. Apparatus and method for endpoint detection in non-ionizing gaseous reactor environments
US6368567B2 (en) * 1998-10-07 2002-04-09 Applied Materials, Inc. Point-of-use exhaust by-product reactor
US6366346B1 (en) * 1998-11-19 2002-04-02 Applied Materials, Inc. Method and apparatus for optical detection of effluent composition
US6263830B1 (en) * 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
US6422002B1 (en) * 1999-07-23 2002-07-23 The United States Of America As Represented By The United States Department Of Energy Method for generating a highly reactive plasma for exhaust gas aftertreatment and enhanced catalyst reactivity
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6492186B1 (en) * 1999-08-05 2002-12-10 Eaton Corporation Method for detecting an endpoint for an oxygen free plasma process
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
JP2001085410A (ja) * 1999-09-14 2001-03-30 Fujitsu Quantum Devices Ltd エッチング方法及びエッチング装置
US6259072B1 (en) * 1999-11-09 2001-07-10 Axcelis Technologies, Inc. Zone controlled radiant heating system utilizing focused reflector
US6451158B1 (en) * 1999-12-21 2002-09-17 Lam Research Corporation Apparatus for detecting the endpoint of a photoresist stripping process
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6783627B1 (en) * 2000-01-20 2004-08-31 Kokusai Semiconductor Equipment Corporation Reactor with remote plasma system and method of processing a semiconductor substrate
US6367412B1 (en) * 2000-02-17 2002-04-09 Applied Materials, Inc. Porous ceramic liner for a plasma source
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6391146B1 (en) * 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
US6592817B1 (en) * 2000-03-31 2003-07-15 Applied Materials, Inc. Monitoring an effluent from a chamber
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6537419B1 (en) 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
DE10025699A1 (de) * 2000-05-23 2001-12-06 Merck Patent Gmbh Emulgier- und Trennvorrichtung für flüssige Phasen
US6633391B1 (en) * 2000-11-07 2003-10-14 Applied Materials, Inc Monitoring of film characteristics during plasma-based semi-conductor processing using optical emission spectroscopy
US6538734B2 (en) * 2000-11-29 2003-03-25 Lightwind Corporation Method and device utilizing real-time gas sampling
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US20020144706A1 (en) * 2001-04-10 2002-10-10 Davis Matthew F. Remote plasma cleaning of pumpstack components of a reactor chamber
US6630406B2 (en) * 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
US6562700B1 (en) * 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
JP2003158080A (ja) * 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107941757A (zh) * 2016-09-28 2018-04-20 朗姆研究公司 原位检测衬底处理系统的衬底区域中的氧的系统和方法

Also Published As

Publication number Publication date
JP4788917B2 (ja) 2011-10-05
WO2004107413A2 (en) 2004-12-09
JP2007501534A (ja) 2007-01-25
WO2004107413A3 (en) 2006-02-16
EP1625610B1 (de) 2008-08-27
US20100055807A1 (en) 2010-03-04
KR20060017806A (ko) 2006-02-27
KR101227199B1 (ko) 2013-01-28
CN1802722A (zh) 2006-07-12
TWI233641B (en) 2005-06-01
EP1625610A2 (de) 2006-02-15
TW200503087A (en) 2005-01-16
US20040235299A1 (en) 2004-11-25
US8268181B2 (en) 2012-09-18
CN1802722B (zh) 2010-09-29

Similar Documents

Publication Publication Date Title
DE602004016147D1 (de) Plasma-ashing-vorrichtung mit endpunktdetektionssystem
DE602004023179D1 (de) Halbleiter strahlungsbild-erfassungsvorrichtung
DE602004025077D1 (de) Insassenerkennungssystem
DE602004026370D1 (de) Bearbeitungsvorrichtung
DE602004003254D1 (de) Plasmaverarbeitungsvorrichtung
DE602005024702D1 (de) Plasmaverarbeitungssystem
DE602004004911T8 (de) Objektdetektionssystem und objektdetektionsverfahren
BRPI0610953A2 (pt) dispositivo de interrupção de circuito, aparelho, e, sistema de interrupção de circuito
DE602004016627D1 (de) Lichtbogenfehlerdetektionssystem
DE60332788D1 (de) Elektrostatische Kapazitätsdetektionsvorrichtung
DE602004004269D1 (de) Schnellverbindungsvorrichtung
DE60232831D1 (de) Signalverarbeitungsvorrichtung
DE602004027184D1 (de) Positionsdetektionssystem
DE602004014081D1 (de) Sturzerfassungsverfahren und -system
DE60204339D1 (de) Kollisionserkennungsvorrichtung
DE602004019482D1 (de) Positionsdetektionssystem
DE602004025739D1 (de) Plasmaverarbeitungsvorrichtung
DE602004024761D1 (de) Infrarotnachweisgerät
DE50312885D1 (de) Allstromsensitive Fehlerstrom-Schutzeinrichtung
DE60304791D1 (de) Fahrzeuginsassenerfassungsvorrichtung
DE50312842D1 (de) Allstromsensitive Fehlerstrom-Schutzeinrichtung
DE502004003501D1 (de) Spinnanlage
DE50301329D1 (de) Feldgerät
DE60317696D1 (de) Lagenunterscheidungseinrichtung
SE0302205L (sv) Position detecting device

Legal Events

Date Code Title Description
8364 No opposition during term of opposition