JP4788917B2 - プラズマアッシング装置及び終了点検出プロセス - Google Patents

プラズマアッシング装置及び終了点検出プロセス Download PDF

Info

Publication number
JP4788917B2
JP4788917B2 JP2006533306A JP2006533306A JP4788917B2 JP 4788917 B2 JP4788917 B2 JP 4788917B2 JP 2006533306 A JP2006533306 A JP 2006533306A JP 2006533306 A JP2006533306 A JP 2006533306A JP 4788917 B2 JP4788917 B2 JP 4788917B2
Authority
JP
Japan
Prior art keywords
plasma
exhaust conduit
gas
processing chamber
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006533306A
Other languages
English (en)
Other versions
JP2007501534A (ja
Inventor
スリバスタバ、アセム
サクシベル、パラニクマラン
バックレイ、トーマス
Original Assignee
アクセリス テクノロジーズ インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アクセリス テクノロジーズ インコーポレーテッド filed Critical アクセリス テクノロジーズ インコーポレーテッド
Publication of JP2007501534A publication Critical patent/JP2007501534A/ja
Application granted granted Critical
Publication of JP4788917B2 publication Critical patent/JP4788917B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)

Description

本発明は、半導体装置および処理に関し、特に、低k誘電体材料を含む基板から有機材料をアッシングするのに適したプラズマ装置及びプラズマを介在させる処理方法に関する。
最近、マイクロエレクトロニクスの次世代に用いるための低誘電率(低k誘電体)フィルムが注目されている。集積回路素子が益々小さくなるにつれて、相互接続による信号伝播のRCによる遅延時間が、チップ速度全体を制限する主要因の1つとなっている。
銅技術の発達により、回路抵抗は、従来の電流状態に対して最も低い制限要素とみなされてきた。それゆえ、容量を減少させることに注目しなければならない。この課題を克服する1つの方法は、相互接続に関係する絶縁フィルムの平均誘電率(k)を減少させることである。従来の二酸化珪素の誘電率は、約3.9である。それゆえ、誘電率を3.9以下に低下させることにより、容量を下げることが可能になる。
低誘電体材料は、一般的に高度の集積回路に用いられ、有機ポリマーまたは酸化物からなり、約3.5以下の誘電率を有する。この低誘電体材料は、化学蒸着処理によって基板上に溶剤または付着されて広がることができる。
ある低誘電体フィルムの特性としては、均一な厚さ、誘電率、屈折率、粘性、化学的耐性、温度安定性、孔寸法及びその分布、温度膨張係数、ガラス転移温度、フィルムストレス、及び銅拡散係数を含む。
ウエハ上に集積回路を形成する際に、ウエハは、完成した集積回路が生産される前に、多くの処理工程を受ける。低k誘電体材料は、これらの処理工程のいくつかに敏感である。例えば、アッシング工程中に用いられるプラズマは、低k誘電体フィルムの一部分を取り除くと共にフォトレジスト材料を取り除くことができる。アッシングは、プラズマに晒すことによって基板からフォトレジスト、ポストエッジ残留物を取り除くためのプラズマ介在ストリップ処理(plasmas mediated stripping process)ということができる。このアッシング処理は、一般的に、フォトレジスト材料がマスクとして用いられて基板にパターンをエッチングするためのエッチング処理または基板の露出した領域にイオンを選択的に注入する注入処理の後に実行される。
残留フォトレジスト、及びエッチング処理または注入処理が完了した後の、ポストエッチングまたはポスト注入によるウエハ上の残留物は、一般的に当業者に知られている多くの理由により、更なる処理のために取り除かなければならない。このアッシング工程は、一般的に、湿式の化学処理によって行われ、残留物の形跡を取り除く。低誘電体基板の場合において、材料の劣化や損失によって誘電率が上昇する結果を招く。
アッシング処理は、エッチング処理とは非常に異なることに注目することが重要である。両方の処理は、プラズマを介在させるけれども、エッチング処理は、プラズマ化学とは異なり、フォトレジストマスクに設けた開口を介して基板表面の一部分を取り除くことによって、あるパターンのイメージを基板に永久的に移すように選択するものである。プラズマは、一般的に、基板の一部分を取り除くために、低い温度及び低圧力(ミリトルのオーダー)で高エネルギーのイオン衝撃を含んでいる。さらに、イオンに晒される基板の一部分は、ある速度で、フォトレジストマスクの除去に等しいまたはそれより大きい速度で取り除かれる。対照的に、アッシング工程は、一般的にエッチング中に形成されるフォトレジストマスク、ポリマー、または残留物を選択的に取り除くものである。アッシングプラズマ化学は、エッチング化学よりも反応が遅く、フォトレジストマスク層を下にある基板の除去速度よりももっと大きな一定の速度で取り除くことを選択する。
さらに、最上のアッシング処理は、プラズマ反応率を増加させるために200℃以上に基板を加熱し、そして、約1.0トルの圧力で処理が実行される。こうして、エッチング及びアッシング処理は、異なる材料を取り除くことに向けられており、プラズマ化学及びその処理とは完全に異なることを必要とする。好ましいアッシング処理は、基板にあるイメージを永久的に移すために使用されるものではない。むしろ、好ましいアッシング処理は、下にある層、例えば、低k誘電体層に影響を与えることなくまたはそれらを取り除くことなく、フォトレジスト、ポリマー、及び残留物の除去速度によって定められる。
一般的にアッシングのために用いられるガス源に含まれる、酸素および/または窒素および/またはフッ素を用いて、フォトレジスト除去処理中に低k誘電体の劣化に対して大いに寄与する研究が提案されている。これらの1つ以上のガス源を含むガス混合物は、基板からフォトレジストを有効にアッシングするけれども、これらのガス源を用いると低k誘電体を含む基板に有害であることがわかってきた。たとえば、酸素を含むプラズマ放電は、プラズマ処理中、低k誘電体層の誘電率を上昇させることが知られている。
誘電率の増加は、他のものに影響を与え、直接素子の特性に影響を与える相互キャパシタンスに影響を与える。さらに、酸素含有プラズマ放電は、銅金属層を用いるアドバンスド素子製造のために用いることは好ましくない。それは、銅金属が、フォトレジストアッシングに対して使用される高い温度で酸化するからである。時々、このダメージは、プラズマ処理後の基板の計測検査中に検出されない。しかし、このダメージは、炭素および/または水素を含有する低k誘電体材料の一部分を取り除くプラズマアッシング後に、一般的に用いられる湿式洗浄処理によって容易に示すことができる。この誘電体材料の取り除かれた部分は、受け入れがたく、かつ素子全体に影響を与える重要な部分(CD)の変動源である。さらに、湿式処理を含んでいない場合であっても、酸素を含有しないプラズマ放電に晒すことによって誘電体材料の電気的及び機械的な特性が変化する。炭素は、プラズマに露出中、誘電体材料から枯渇されると考えられる。
理想的には、アッシングプラズマは、低k誘電体層に影響を与えることなく、フォトレジスト材料のみを取り除く。誘電体材料としてのSiO2を用いて、これらのガス源を用いて高選択度を与える。低k誘電体に対するダメージを最小限に抑えるために、酸素及び窒素を含有しないプラズマ処理が発展してきた。このような処理は、プラズマをヘリウム及び水素を含むガス混合物からプラズマを発生することを含んでいる。しかし、除去することのメカニズムは、積極的でないプラズマ放電とは異なっている。酸素及び窒素を含有しないプラズマ、例えば、ヘリウムと水素から形成されたプラズマは、伝統的な意味でフォトレジストをアッシングしない。むしろ、プラズマは、基板から大いにフォトレジストの部分を除去させると思われている。除去メカニズムの結果として、基板からフォトレジスト材料を効果的に取り除くため、プラズマに露出させることにより、処理室内にフォトレジストおよび生成物を大いに堆積させる。そして、プラズマ処理室から下流の領域に、スロットル弁および排気ラインを配置する。これらのアッシング材料の形成は、平均洗浄間隔(MTBC)及び真空ハードウエアの再結成/交換が、処理能力の損失と所有者コスト増をもたらす。更に、基板の表面上方に処理室内のフォトレジスト材料が堆積することにより、基板上に微粒子の汚染物質を導くことになる。これにより、更に、素子の生産量に影響を与える。
酸素及び窒素を含有しないプラズマ放電における付加的な問題は、露出されるプラズマの不均一性である。これらのプラズマ放電は、攻撃的でなく、非均一性であり、また、かなり多量の流出である。下流型プラズマアッシャーは、狭い径のオリフィスを有して、プラズマを発生させるプラズマ管を備えている。基板の直径は、プラズマ管のオリフィスの径よりも一般的にかなり大きくなっている。このように、プラズマ内のプラズマ種が基板を横切って均一に発散するように、処理室にプラズマが侵入すると、プラズマ管の出口近くに配置されるバッフル板によってプラズマを検出する。しかし、攻撃的でないプラズマ放電が、ほとんど反応しない種を有し、バッフル板の中心点から外縁に分散して、ウエハ上にホットスポット、例えば非均一性の領域が生じることがわかった。例えば、水素種が、軸方向の流れリアクター内のバッフル板の中心である衝突点からバッフル板の外縁に進行するとき、プラズマ内に発生した水素ラジカルと再結合すると考えられる。これにより、ウエハのエッジにおいて、低いアッシング率を導くことになる。プラズマ室の設計において、ウエハの直径がプラズマ管の直径と匹敵するものであり、ラジカルの非均一性については、他の方法で軽減することができる。
酸素及び窒素を含有しないプラズマに関して他の問題は、終了点の検出に関する。プラズマ放電の形式について考慮するのに、伝統的な終了点検出方法及び装置は適当ではない。例えば、水素及びヘリウムのガス混合物から形成されるプラズマの場合、終了点検出のために適した信号を発生させるように、選択的に励起された種をウエハ平面に生成することができない。
従って、低k誘電体を用いて、酸素及び窒素を含有しないプラズマ放電を発生させるための改良した方法及び装置を必要としている。
ここに開示するプラズマアッシング装置は、基板からフォトレジストまたはポストエッチング残留物あるいはこれらの両方を除去するために、第1ガス源と、この第1ガス源に流体連通し、前記基板からフォトレジストまたはポストエッチング残留物あるいはこれらの両方を選択的に除去するための第1プラズマを発生させるプラズマ発生コンポーネントと、前記プラズマを受け入れるために、前記プラズマ発生コンポーネントと流体連通し、内部に前記基板を含む処理室と、この処理室と流体連通し、前記プラズマ発生コンポーネントと軸方向に一列に並んでいる排気導管と、この排気導管に結合し、アフターバーナーアセンブリのプラズマ放電領域内に焦点合わせされる集束光学系を含む光学検出システムとを含み、
前記排気導管は、第2ガス源を導くためのポートと、前記排気導管に結合される前記アフターバーナーアセンブリとを含み、前記ポートは、前記処理室とアフターバーナーアセンブリの中間に配置され、さらに、前記第1ガス源は、酸素及び窒素を含まないガスであり、前記第2ガス源は、酸化ガスであることを特徴としている。
別の実施形態において、基板からフォトレジストまたは残留物あるいはこれらの両方を取り除くために、酸素及び窒素を含有しないプラズマアッシング処理のための終了点検出方法は、プラズマアッシング装置の排気導管内に酸化ガスとプラズマアッシング排出物を導き、前記酸化ガスと前記プラズマアッシング排出物からプラズマを発生させ、発光性の種を形成し、この発光性の種に関連する発光信号の強さを光学的に監視する、各工程を含み、前記プラズマアッシング装置は、プラズマ管と流体連通する処理室を含み、前記排気導管は、前記プラズマ管と軸方向に一列に並び、前記プラズマアッシング排出物は、フォトレジスト材料、ポストエッチング残留物、及びポストアッシングの生成物であり、かつ窒素及び酸素の種が除かれており、さらに、酸素と窒素が含有しないプラズマアッシング処理の終了点は、発光性の種に関連する発光信号の強さが、所定の閾値より大きいかまたは小さい値に変化した時に検出されることを特徴とする。
別の実施形態において、炭素を含有する低k誘電体材料を有する基板からフォトレジスト材料を取り除くために使用される、酸素及び窒素を含有しないプラズマアッシング処理のための終了点検出方法は、処理室内で、フォトレジスト材料を基板から取り除き、そして揮発性生成物を形成するために、基板を酸素及び窒素を含有しないプラズマに露出させ、前記プラズマは、前記プラズマ管から前記処理室内に導かれ、前記処理室から排気導管に、前記フォトレジスト材料および揮発性生成物を排出し、前記排気導管は、前記プラズマ管と軸方向に一列に並んでおり、酸化ガスを前記処理室内に流さないように、前記酸化ガスを前記排気導管内に導き、前記酸化ガス、排出されたフォトレジスト材料および揮発性の生成物から、前記排気導管内にプラズマを発生させ、約283nm、約309nm、約387nm、約431nm、約434nm、約468nm、約472nm、約513nm、約516nm、約656nm、約668nm、約777nm、約845nmmの波長、またはこれらの波長の少なくとも1つの組み合せに関連して、排気導管内の発光信号の強さを測定し、前記排気導管内で、前記発光信号における観測した変化に応答して、酸素及び窒素を含有しないプラズマアッシングの終了点を決定する、各工程を含むことを特徴としている。
別の実施形態において、炭素を含有する低k誘電体材料を有する基板からフォトレジスト材料または残留物を取り除くために使用される、酸素及び窒素を含有しないプラズマアッシング処理のための終了点検出方法は、水素ガス、ヘリウムガス、または前記ガスの少なくとも1つを含む組み合せからなるガス混合物から酸素及び窒素を除いて処理室内に第1プラズマを発生させ、前記基板からフォトレジスト材料または残留物を選択的に取り除くために、前記第1プラズマに対して前記処理室内に設けられた前記基板を露出させ、前記第1プラズマは、前記プラズマ管から前記処理室内に導かれ、前記取り除かれたフォトレジスト材料または残留物を前記基板から排気導管に排出し、前記排気導管は、前記プラズマ管と軸方向に一列に並んでおり、発光性の種を生じさせるために、前記排出導管内に第2プラズマを発生させ、前記発光性の種を光学的に監視し、前記発光性の種の光の強さが所定の閾値よりも大きいかまたは小さい値のとき、第1プラズマの終了点が検出されること特徴としている。
図1は、軸方向に流れる下流型プラズマアッシング装置10を示す。この装置は、低k誘電体材料を含む基板から、フォトレジスト、側壁堆積物、及びポストエッチング残留物を取り除く際の使用に適している。このプラズマ装置10は、ガス配給構成要素12、プラズマ発生コンポーネント14、処理室16、及び排気アセンブリコンポーネント18を含む。組み合わされる種々のコンポーネントは、酸素及び窒素を含有しないプラズマ放電を用いて基板を処理する際の改良を与え、この基板は、低k誘電体材料を含有する炭素を含んでいる。
下流軸方向流れのプラズマ装置は、本発明における変形例として特に適するプラズマアッシャーで、商品名「フュージョンES3LK」としてアクセリス テクノロジーズ コーポレーションから市販されている。マイクロ波プラズマアッシャーの一部は、特許文献1、2、3に記載されており、これらの文献は、全体として参考文献としてここに包含される。
以下で論じるように、これらの開示内容は、ここで、または以下の実施形態において、特別のプラズマアッシャーに制限するものではない。例えば、誘導的または容量的に結合されたプラズマアッシャーを使用することができる。
米国特許第5,498,308号明細書 米国特許第4,341,592号明細書 国際特許出願 WO/97/37055
炭素含有の低k誘電体は、後述するように、集積回路または同等物の製造において使用するのに適した炭素含有の絶縁材料として定められ、約3.5未満の誘電率を有し、好ましくは、約3.0未満の誘電率であることが望ましい。炭素含有の低k誘電体材料は、炭素を含むペンダント群(pendant group)を含むか、あるいは炭素を含有するものであってもよい。ここで、誘電体材料のバックボーンは、相互に結合された炭素の網状組織からなる。炭素含有の低k誘電体は、一般的に2つの形式の一方のカテゴリー、即ち、不純物が添加された有機酸化物とすることができる。有機低k誘電体材料の一例としては、ポリイミド類、ベンゾシクロブテン(benzocyclobutene)、パリレン類(parylenes)、ダイヤモンド状炭素、ポリ(アリーレンエーテル)類[poly(arylene ethers)]、シクロテン類(cyclotenes)、過フッ化炭化水素、及び同等物を含み、これらの誘電体材料は、登録商標SiLK,BCBとして市販されている。ドープオキサイド低k誘電体材料の一例としては、メチルシルセスキオキサン(methyl silsesquioxane)、水素シルセスキオキサン類、ナノポーラスオキサイド(nanoporous oxides)、炭素ドープシリコンダイオキサイド(carbon doped silicon dioxides)、及び同等物を含み、登録商標CORAL、BLACK DIAMOND、及びAURORAとして市販されている。
炭素含有の低k誘電体材料の2つの形式は、密度の高いものと多孔性のものとが存在する。多孔性のものは、登録商標LKD、ORION,BOSS及びSiLK等で知られている。他の炭素含有の低k誘電体材料は、この技術分野における当業者であれば、明らかであろう。
同様に、水素含有の低k誘電体は、以下において、集積回路または同等物の製造において使用するのに適した水素含有の絶縁材料として定められ、約3.5未満の誘電率を有する。上記に記載された多くの炭素含有の低k誘電体材料は、化学構造の中に炭素原子に1つまたはそれ以上の水素原子炭素を含むものである。しかし、本発明に開示する好ましい水素含有の低k誘電体材料は、炭素含有構造に制限されることを意図するものではない。
図1に示すように、ガス配給コンポーネント12は、好ましくは、ガス源22(酸素及び窒素を含有しないプラズマを発生するための)と、プラズマ発生コンポーネント14のガス入口24とに流体連通するガス清浄器20とを含んでいる。付加的なガス源(図示略)が、ガス入口24に接続されて、その場で洗浄能力を与えることができる。その場での洗浄は、プラズマ源を用いる処理室16を洗浄する処理であり、アクセスのために処理室の一部を分解することによって処理室コンポーネントを手動で洗浄するのに対抗して行われる。
好ましい実施形態では、清浄器20は、約10ppm未満に不純物のレベルを減少させるようにする。好ましくは約5ppm未満のレベルに、更に好ましくは、約1ppm未満の不純物レベルに減少させる。更に、好ましくは、約100ppb(parts per billion)未満とすることができる。これらの不純物レベルを達成する適切な清浄器は、SAESピュアーガス インコーポレイテッドから市販されている登録商標MONO TORRの高流量型清浄器等の金属の残留ガス除去技術に基づくレベルを備えている。汚染物質のレベルを減少させるプラズマを形成するのに用いられるガス源22と流体連通するガス清浄器20を用いることにより、低k誘電体物質、特に、炭素含有の低k誘電体の強力処理が有効になる。酸素及び窒素を含有しないプラズマを発生するために適当なガスは、水素、へリウム、アルゴン、ネオン、他の不活性ガス、炭化水素、および1つ以上の前述のガスを含む組合せに制限されるものではない。例えば、ヘリウムガス源は、測定純度99,999%を有するが、炭素含有の低k誘電体のプラズマが介在する処理に対して望ましいものではない。
2O、O2、CO、CO2、N2 等の不純物は、基板の処理中、低k誘電体を腐食させるのに十分なレベルとなり、また、誘電率を増加させることにもなる。
プラズマを形成するための入来ガスは、100ppb未満のH2O、O2、CO、CO2、N2を含むように純化される。清浄器20は、好ましくは、有効に選択され、かつ比較的高流量で好ましい不純物レベルを与える。例えば、300mmの下流型プラズマアッシャーであれば、約1000〜約12,000流量単位(sccm)の流量が期待できる。
図2及び図3は、例示的なマイクロ波プラズマ発生コンポーネント14を示し、これは、本実施形態において実際に使われる。図4は、プラズマアッシング装置10の斜視図を示し、この装置は、マイクロ波プラズマ発生コンポーネント及び透視図で示す処理室16を含んでいる。プラズマ発生コンポーネント14は、本実施形態を理解するために関係する部分のみを示すように単純化されていることが理解できよう。当業者であれば、他のコンポーネントは、使用可能なプラズマアッシング装置10を製造するために必要となることが理解できよう。しかし、このようなコンポーネントは、従来よく知られており、それゆえ本実施形態の理解を更に助けることに寄与しないので、このようなコンポーネントについて論じることはしない。
マイクロ波プラズマ発生コンポーネント14は、マイクロ波包囲体24を含んでいる。このマイクロ波包囲体24は、縦方向の仕切り壁26,28,30を用いて仕切られた矩形状の箱であり、貫通するプラズマ管32を有している。各仕切り壁は、プラズマ管が通過する開口を有する。各仕切り壁は、動作中マイクロ波エネルギーが供給される。こうして、各仕切り壁は、入来するマイクロ波エネルギーに対して比較的短いキャビティとなるように包囲角及び軸方向の均一性を有するモードの形成を促進する。外側管34は、キャビティ内のプラズマ管を取り囲む。外側管は、プラズマ管から僅かに分離されている。プラズマ管に効果的な冷却を与えるために、正の圧力の下で2つの管の間にエアが供給される。管32は、好ましくは、サファイアで作られている。他のプラズマ管の材料は、水晶またはアルミナ被膜された水晶、または他のセラミック材料を用いることができる。好ましくは、マイクロ波包囲体24は、矩形TM110モードを支持するように寸法付けられ、また、包囲体24は、四角形断面を有する。この断面寸法は、TM110モードが共振するようになっている。各セクションの長さは、λg/2未満であり、λgは、TE104モードのキャビティ内のガイド長さである。
同軸管を介して供給される仕切り壁26,28,30に設けた開口は、プラズマ管の外形寸法よりも大きく作られる。また、絞り板36が示され、この絞り板は、マイクロ波構造の開口側を覆いかつ隣接セクション内にマイクロ波エネルギーを供給するのに効果的である。絞り板36は、絞り38,40、42,44を有する平らな金属板である。この絞りを通って、マイクロ波エネルギーが供給される。絞りを介してマイクロ波の伝播があり、これにより、仕切り壁によって囲まれた管の一部分にプラズマが励起される。このような伝播は、仕切り壁によって囲まれた領域と、そうでない領域との間のプラズマ管における温度勾配を減じる働きをする。外側管が用いられない時、(他の方法で冷却が与えられる)仕切り壁に設けた開口は、プラズマ管と仕切り壁との間に空間を形成し、このようなマイクロ波伝播を与える。
マイクロ波トラップ46,48は、両端部に設けられ、マイクロ波伝播を防止する。このようなトラップは、特許文献1に開示された形式のものである。エアシール/方向フィーダー50,52は、冷却エアを受け入れるために設けられ、かつ同軸管の間の隙間に冷却エアを供給する。エアシール/方向フィーダー54は、外側端部に示され、このようなユニットの1/4は、図面では見えないが存在する。
マグネトロン56は、カップラー58からTB10モードを供給する導波管にマイクロ波出力を供給し、相互に直交するセクション60,62を有する。導波管セクション62の長さは、移動可能なプランジャー64を用いて調整可能である。導波管セクション62の底部板は、絞り板36であり、この絞り板は、マイクロ波エネルギーを仕切られたマイクロ波構造24に結合する。プラズマ管32が伸びてプラズマがプラズマ管を通って流れるガス混合物内で励起される。
図3を再び参照すると、エンドキャップ70は、マイクロ波トラップ48に接触し、そして、管継手74は、エンドキャップ内に伸びるプラズマ管にガスを入れるための中央オリフィスを有する。ガス供給源22は、外部流量ボックス(図示略)によって調整される。ガス清浄器20は、ガス供給源22およびガス入口23(図1参照)に流体連通している。プラズマ管32は、端部キャップ内のOリング72により管の端部で支持されている。外側管34は、マイクロ波トラップ46と48の両方に接触することにより、管端部で支持されている。スペーサ76は、処理室に関連して適当なスペースを保つために設けられている。プラズマ管の別の端部は、端部部材78の中に配置され、さらに、処理室内にプラズマ/ガスを放出するための開口80を備えている。
選択的に、開口を形成する導管は、プラズマ管32と処理室16との間の差圧を作り出すために狭い開口を取り付けている。この処理室内の圧力は、プラズマ管32内の圧力よりも大きい。操作中、プラズマ管32の圧力は、約1トルから大気圧までの範囲であることが望ましい。対照的に、操作中の処理室の圧力は、約100ミリトルから大気圧までの範囲である。
プラズマ管32の開口80は、処理室16の内部領域と流体連通している。プラズマは、比較的狭いオリフィス(処理すべき物質の寸法に比較して)から処理室内部に放出されるので、基板上に均一なプラズマを露出させることを促進させるための分配システム100が処理室16内に配置されている。このガス分配システム100は、プラズマ管32の基板の開口80の間に配置されている。
好ましい実施形態では、ガス分配システム100は、プラズマを基板表面へ分配することを促進させるために、ウエハ上方に1つ以上のバッフル板を含む。バッフル板は、各プレートが1つ以上の開口を含むように積層された多数のバッフルプレートを含んでいる。プレナム室が、バッフル板アセンブリと処理室の上部壁との間に形成される。特に好ましい実施形態では、バッフル板アセンブリは、プラズマからウエハ表面に反応種の均一な集中を与えるように設けられている。背景技術に記載したように、例えば、水素ラジカルが、軸方向流れリアクタにおける中心衝突点からバッフル板の外側端に進むとき、水素ラジカルが、再結合による集中のプラズマ減退内で作り出されることが発見された。理論に縛られることを望むものではないが、水素ラジカルの種がバッフル板の外側端に流れるときに、水素ラジカルの活性が減退することは、基板中心に供給される軸方向プラズマ流れからプレナム室の外側端へ移動する放射方向の移動距離によって説明されるというよりもむしろ、水素原子のより短い寿命によるものであると考えられる。
水素ラジカルが水素分子または同等物内に再結合すると、中性ガスは、フォトレジストともはや反応することができない。別の理由は、ここで記載される下流型プラズマアッシャー等の軸方向流れリアクタ構造にあり、フォトレジストが生成物を除去し、さらに、ウエハの中央部分からウエハの端部に向けてガスが流れ、処理室16の排気導管170に達する。この結果、中央部分と比較してウエハの端部分近くで活発な水素ラジカルを希釈し、さらに、中央位置から取り除かれる生成物を除去するフォトレジストと反応することによって不活性になるように、端部により近い場所に水素ラジカルを用意する。アッシングの均一性を高めることは、バッフル板の中心から外側端に、バッフル板の開口密度を増加することによって達成できることが発見された。例えば、中心点から外側端までの開口密度を増加することによって、またはバッフル板の中心点から外側端までの開口の大きさを増加させ、あるいは、開口のない中心点を含むことにより、あるいは、上述の1つ以上のバッフル板の形状の組み合せによって、基板上でより活性化されかつ改良されたプラズマの均一性を高めることができる。
図5〜図8は、装置10に用いる適切なガス分配システムを示している。好ましい実施形態では、ガス分配システム100は、二重のバッフル板アセンブリである。図5は、処理室16を示し、その内部に、ガス分配システムまたはバッフル板アセンブリ100の第1実施形態の構成を含んでいる。アッシャー処理室16は、内部に300ミリ(mm)のウエハ処理システムを用いるのに適しているバッフル板アセンブリ100が設けられている。ガス分配システム100は、200mmウエハを用いるのに適合でき、ここで開示する内容は、当業者によって評価され得るものである。
本発明の開示内容は、下流型プラズマアッシャー装置に包含されるように図示されているが、残留物除去、ストリッピング、及びアイソトロピックエッチング設備等の他の半導体製造設備に用いることができる。
バッフル板アセンブリ100は、互いにほぼ平行に配置されかつ互いに分離した、上部開口を有するバッフル板102と、比較的大きな下部開口を有するバッフル板104とを含む。バッフル板アセンブリ100は、処理室の下側部分106に取付けられ、処理されるウエハ110が配置される空洞部108を処理室内に含んでいる。更に、互いに平行に向いているバッフル板102,104は、処理されるウエハに対しても平行に配置される。バッフル板102,104は、同一または異なる寸法であってもよく、また、開口の数も同一または異なるものでよい。好ましい実施形態では、上部バッフル板102は、図5に示すように、下部バッフル板104よりも小さい外形状を有している。
シール112は、バッフル板アセンブリ100と処理室の上部部分106との間に介在するように設けられ、下部バッフル板104(図7参照)内の溝114内に配置される。ウエハは、ロードロック機構(図示略)を介して、入口/出口通路116を通って処理室内に導かれ、そして処理室から取り除かれる。代わりに、大気ウエハハンドリングシステム(図示略)を使用して、ウエハを導きかつ取り除くために、処理室に入出させる。加熱機構(以下で論ずる。)が、処理室の下側部分106の下に配置され、処理中所望の温度にウエハ110の底面側を加熱する。
処理室16は、一般的に、加熱アセンブリ(下側)とプラズマ発生コンポーネント14(上側)の中間にあるプラズマアッシング装置10内のホール118の位置に設けられる。動作中、励起されたプラズマ(ガス)は、プラズマ管32(図3参照)から出て、バッフル板アセンブリ100に遭遇する。好ましい実施形態では、励起されたプラズマがプラズマ管32から流れ、まず、実質的に開口のない上側バッフル板102の中心領域に入る。この中央に開口のない領域は、プラズマ管32から排出する高い速度の軸方向ガスを取り除く機能を有し、また、下側バッフル板104と処理室の上側壁(即ち、蓋)の間に形成されるプレナム室の動作を達成するために放射方向にガス/プラズマの種を加速する機能を有する。このプラズマは、その後、上側バッフル板102内の開口120と下側バッフル板104内の開口122を介して、処理室の空洞部108内に分配される。1つの実施形態において、下側バッフル板104は、入口126及び出口128を介して内部冷却通路124を通って流れる冷却媒体で冷却される。処理室の下側部分106の壁130もまた、入口134及び出口136を介して内部冷却通路132を通って流れる冷却媒体で冷却される。
図6及び図7により詳しく示される下側バッフル板104は、外側フランジ138と、開口122を含むほぼ平坦な部分140とを有する。取付け穴(図示略)は、スタンドオフ144によって上側バッフル1092を取り付けるために下側バッフル板104内に設けられる。上側バッフル板及び下側バッフル板の間の距離は、バッフル板アセンブリ100を通って流れるガスのパターンを部分的に決定する。300mmのプラズマアッシャーに対して、上側および下側のバッフル板102,104間の距離は、好ましくは、それぞれ約0.25インチ〜約2インチであり、より好ましくは、約0.5〜約1.5インチの間にある。
図6は、図5に示す300mmバッフル板アセンブリの平面図である。そして、図7は、バッフル板アセンブリ100のこの実施形態における断面図である。これらの図で示すように、バッフル板アセンブリ100は、下側バッフル板フランジ138内の取付け穴146を介して処理室の上側部分106に取り付けられる。開口122は、下側バッフル板に設けられる。開口部分122の表面領域は、その下側に存在するウエハ110を覆うのに十分である(図5参照)。この実施形態では、開口122の寸法は、下側バッフル板の中心点から外側端に向けて増加する。開口122の増加する寸法は、炭素含有の低k誘電体を用いる等の酸素及び窒素が含有しないプラズマ放電に対するプラズマ均一性を改善する。
図8は、別の実施形態に従って下側バッフル板104の平面図を示す。開口122での密度は、下側バッフル板104の中心点から外側端に移行するに従って増加し、開口の寸法は、同一である。
上側バッフル板102内の開口120は、ほぼ放射方向にまたは同軸の多重円形パターンに整列される。上側バッフル板102は、サファイア被膜された石英ガラスまたは水晶(SiO2)またはセラミック材料からなる。上側バッフル板102の開口120は、下側バッフル板104の大きい開口122よりも僅かに大きい開口であることが望ましい。上側バッフル板102の中心には、開口のない部分が配置されており、さらに、サファイア製の衝突ディスク152が設けられている。上側バッフル板102の開口のない中心部分は、サファイアの衝突ディスク152の有無に関係なく、プラズマ管32から排出する励起ガスが、上側バッフル板102の他の開口領域に向けて放射方向外側に流れを転じる。これにより、ウエハ110の半径方向内方部分が、中心位置に存在する種のより高い集中により、ウエハの残りの部分よりも比例してより高い比率で、過熱と過度のアッシングで処理されるのを防止する。代わりの実施形態では、上側バッフル板は、200mmウエハを処理するために用いることができるように完全に開口なしに形作ることが可能である。
基板110の加熱は、ウエハ110の下側に配置したタングステンハロゲンランプ160(図1参照)のアレーによって達成することが望ましい。このウエハは、処理室内のリフトピンによって支持されている。赤外線放射を透過させるプレート156(図5において図示するように、処理室の底部壁)は、処理室16とランプ160の間に配置される。好ましくは、基板は、アッシング中、約80℃〜350℃に加熱される。更に好ましくは、基板は、温度を段階的に増加させることによって、段階的に加熱される。加熱は、フォトレジストおよび/または残留物のポストエッチングを用いてプラズマの反応速度を増加させる、その結果、処理能力を増加させることが分かる。基板を加熱する熱量は、低k誘電体層及び他の層の温度安定性によるとともに基板内にすでに形成されたコンポーネントにもよる。好ましい実施形態では、熱量は、基板の選択されたゾーンに不均一に加えて、フォトレジストを用いてプラズマの均一な反応を容易にする。この実施形態では、コントローラ(図示略)は、処理中ウエハの均一な加熱をより促進させるために、基板110をいろいろ加熱するためにランプアレイ160と協働する。ウエハを加熱する他の方法は、ウエハを加熱するために、加熱チャック等に接触またはより近くに平らな加熱表面を用いることである。
基板110は、低k誘電体層から拡散するために汚染物質を揮発させ、更に、基板内の他のコンポーネントまたは他の層の劣化を生じさせることなく揮発させるように、酸素及び窒素が含有しないプラズマに晒すとともに、同時に十分な強さと持続時間を有して加熱することが望ましい。
多孔性または非多孔性のドープした酸化物の炭素含有低k誘電体材料のために、ウエハは、約20℃〜400℃の範囲で加熱され、更に好ましくは、約100℃〜300℃の範囲に加熱される。有機低k誘電体材料のために、ウエハは約80℃〜最大180℃の範囲で加熱される。有機誘電体に対する最大温度は、使用される有機低k誘電体材料の本来的な特性に依存し、当業者に知られた温度分析技術によって決定される。この温度は、処理中、段階的に増加または静的に一定に留まる。
開口は、処理室16の壁130に設けることができ、一般的に当業者に知られているように、例えば、その場における処理室の洗浄処理において、終了点検出を監視するための光学ポート、処理中に放出されるガス状の種を分析するための質量分析計の入口、または同等物として配置される。
更に、処理室16は、底部プレート156の中央に配置される排気開口158を含む。好ましくは、この排気開口158は、プラズマ管32と同軸に配置される。
処理室16内の作動圧力は、好ましくは約100ミリトル〜約3トルの間であり、好ましくは、約200ミリトル〜約2トル、更に好ましくは、約500ミリトル〜約1.5トルである。さらに、処理室16は、この出願にかかる付加的な特徴を含むことができる。例えば、水晶窓が設けられ、UV光源がウエハの近くに配置される。このような非円柱の光源は、バルクストリップ(bulk strip)利用におけるフォトレジスト除去を高めるために示されたUVエキシマーレーザーと同等の波長を有する。この光源は、マイクロ波プラズマ発生の反応ガスと共に用いることができる。更に、フォトレジスト除去の前および後に、光源に晒すことにより、残留物の除去及び注入されたレジスト除去の利点を与えることができる。オーバーヘッドRF源、光学ポート、ガス分析器、付加的な光源、及び同等物は、非常に柔軟な処理プラットフォームを与える処理室16に対して、独立にまたは組み合わせて用いることができる。
処理室16に、排気アセンブリコンポーネント18が結合される。このコンポーネント18は、処理室16の内部領域と流体連通する排気導管170を含んでいる。排気導管170の入口172は、処理室16の底部プレート156に設けた開口158に取り付けられる。排気導管170は、好ましくは、入口172から出口174までほぼ真直ぐに伸びており、これにより、高い衝突領域(例えば、導管内の鋭い曲がりや曲線)を最小にする。そして、フォトレジスト材料及びプラズマアッシングによる生成物が鋭い曲がり部分に形成されるのを最小にする。好ましい実施形態では、排気導管170は、水晶またはサファイア被膜された水晶で作られる。排気導管170(および開口156)の最小限の直径は、300mmアッシング装置に対して少なくとも約2インチ(200mmプラズマアッシング装置に対して約1.5インチ径またはそれ以上のサイズが好ましい。)が望ましい。処理室16内の排気導管170を中心に配置することにより、プラズマ管から排気導管170への流れが単純化され、プラズマの均一性をより向上させる。
排気導管170の出口176は、好ましくは真空システム176に接続されている。アフターバーナーアセンブリ178が排気導管170と作動的に連結されている。ガス入口180及びガス源182は、排気導管170と流体連通し、かつアフターバーナーアセンブリ178から上流に配置されている。アフターバーナーアセンブリ178は、処理室16から放出されたプラズマ生成物及びフォトレジスト材料を揮発させるように、排気導管170内にプラズマ放電を発生させるために使用される。
以下で詳細に説明するように、ガス源182は、酸素等の酸化ガスまたは酸化ガスを含むガスの組み合わせが望ましい。好ましくは、酸化ガスは、ハロゲンを含まない。このように、処理室から排気導管内に排出物が、酸化ガス源、例えば、酸素と混合し、そして、酸素を含むプラズマが、以下で説明するように、アフターバーナーアセンブリ178によってその混合物から形成される。酸化ガスは、上記排気アセンブリから直ちにアフターバーナーアセンブリに導かれるように、処理室16の排気開口158から下流に位置する。酸素が処理室16内に入ると、前に説明したように、低k誘電体材料に有害な影響を与える。排気導管内にプラズマを発生させるためのハードウエハ及びプロセスは、酸素が上流に移動しないように、即ち、処理室に戻らないようにすることが望ましい。
アフターバーナーアセンブリ178は、好ましくは、排気導管170を介して流れるガス混合物を誘導的に励起するために、排気導管170の外側に巻かれたRFコイル183を含む。プラズマを形成するために、RF出力を用いてガス混合物を誘導結合させ、他の手段を用いて、容量性励起または同等の手段によって効果的な方法で使用することができる。さらに、マイクロ波を含むISMバンド内の他の周波数がアフタバーナープラズマを励起するのに使用することができる。この酸化ガスは、好ましくは、アフターバーナーアセンブリ178から上流の入口180に導かれる。スロットルバルブ184、フォーライン(foreline)バルブ(図示略)、真空ポンプ176、及び他の真空処理ラインが、アフターバーナーアセンブリ178の下流に配置される。
RFコイル182は、適当なRF発生器または電源186に接続される。電源の周波数は、一般的に、400KHzから、最適値である13.56MHzに600ワット未満で変更することができる。しかし、より高い周波数及びより高い出力にすることもできる。更に好ましくは、約300ワット〜約500ワットのRF出力が、排気導管170内の酸素種を含むプラズマと誘導的に結合して用いられ、排気導管内に燃焼用の有機物を包含する。その結果、処理室から下流に、フォトレジスト材料と他の生成物が堆積するのを防止および/または除去する。
RF接続は、一般的にRFマッチボックス188を介してなされ、コイル182は、プラズマアッシング処理の初期に励磁される。結合したRFフィールドを通過する酸素含有(O2)ガス混合物が有機物を効果的にかつ効率的に燃焼するプラズマ放電を発生させる。好ましくは、アフターバーナーアセンブリ178は、処理室16内の基板110のプラズマアッシング処理中に同時に作動するように作られている。
さらに、排気導管170は、光学的な検出システム190を含んでいる。この光学検出システム190は、プラズマとフォトレジストの間の反応による生成物(及び反応物)に対応する特定の波長を有する発光ピークを光学的に検出する。この技術は、プラズマ内の反応物と生成物から光学発光の発光の強さにおける変化を検出することに頼る。電子がより高いエネルギー状態からより低いエネルギー状態に移るとき、励起した原子または分子が光を放出する。異なる化合物の原子及び分子は、一連のユニークなスペクトル線を放出する。プラズマ内の各化合物に対する発光の強さは、プラズマ内の化合物の相対的な濃度による。一般的な光学発光分光装置は、反応性のエッチングガス及びこのエッチングガスの生成物及び反応物の発光の強さを測定することによって作動する。発光が減少し、さらに、生成物が見える位置にないとき、発光が最終的に停止し、そして、終了点に到達する。
この光学発光分光装置は、生成物の減少する発光の強さを感知して、この終了点を決定する。代わりに、光学発光分光装置は、処理室内において終了点に達したとき、反応化学種の上昇を感知することができる。その結果、反応物の発光の上昇または逆に、生成物の発光の低下のいずれかがあると、発光が終了点をもたらすと判断するのに使用される。有利なことに、アフターバーナーアセンブリ178の放電領域から下流にある光学発光信号は、処理室内のウエハ表面で何が起こっているかを明らかにするのに用いることができる。例えば、酸素等の酸化剤が消費される。プラズマが排気導管170内で発火するとき、燃焼生成物が発生する。この燃焼生成物、例えば、一酸化炭素、炭酸ガス、水及び同等物は、酸素含有プラズマ放電を用いて、フォトレジストのプラズマアッシングを行う間に一般的に生じるが、酸素及び窒素を含有しないプラズマ放電では使用されない。
これらの化学種は、強い光学発光信号を放出するので、酸素及び窒素含有しないプラズマ処理は、排気導管内のプラズマ放電領域におけるアフターバーナーアセンブリから製造される光学発光信号を分析することによって、終了点検出を容易に監視することができる。監視された化学種の信号が検出できなくなると、終了点に到達したと想像できる。前に論じたように、酸素および窒素を含有しないプラズマ処理を用いて、炭素を包含する低k誘電体を有する基板からフォトレジストマーク等を取り除くことが望ましい。ここに開示する酸素及び窒素を含有しない適切なプラズマ処理は、ヴァルドフライド等による係属中の米国特許出願番号第09/588177号に開示されており、その全体は、ここに参考文献として包含される。この処理及び装置は、終了点検出手段を備えており、さもなければ、酸素及び窒素を含有しないプラズマアッシング処理中に発生する化学種によって、終了点を処理室において直接検出することは難しい。
光学検出システム190は、排気導管に連結される。集束光学系192は、排気導管170の外側に配置され、RFコイル182を介してプラズマ発生器内に直接通過する、或いは見られる発光スペクトルを集める。排気導管170は、好ましくは、水晶またはサファイア等の光学的に透過な材料から製造されるのが望ましいので、光学ポートまたは窓は、必ずしも必要ではない。光学的に非透過な材料が、排気導管の製造に用いられる場合、水晶またはサファイアの光学ポートが排気導管内に作られる。分光計またはモノクロメータ(一般的に、図1において、194として図示される。)は、集束光学系192からの光を受け入れるように配置される。光学発光分光学及びその技術は、一般的に従来技術として知られている。1つの実施形態では、光学発光分光学は、CCD等の分光計によって光学発光分光学は、分光計に基づくCCD(チャージ カップル デバイス)または分光計に基づくPDA(フォトダイオードアレー)等の分光計によるものである。この発光時間は、波長範囲をシーケンス的に記録し、さらに発光スペクトルを次につづく分析のためのアナログ信号に変換する。選択的に、狭帯域フィルタが用いられ、増倍型光電管(PMT)またはフォトダイオード等の光検出器における波長の特定範囲の評価を可能にする。分光計は、アフターバーナーアセンブリ内の燃焼処理中に放出される光信号を時分割的に変換する。この信号は、所望の出力を生じるために、当業者に知られた方法を用いて分析することができる。
好ましくは、データは、実時間において見ることができる。またこのデータは、注目する波長範囲に対するプラズマ処理中に放出される光の強さの時間的変化を示すグラフ形式で見られることが望ましい。さらに、光学的に誘導されるアナログ信号における降下(または監視された化学種による上昇)は、機械上での工程を開始させるのに用いられる。例えば、排気導管内に設けた光学検出器によって集められるデータからアッシングの終了点が発生したことを決定すると、直ちに、プラズマアッシング処理を、フィードバックループを介して中断することができる。
代わりに他の光学検出器を用いることができる。たとえば、上述したように、モノクロメータは、データを集めるのに用いることができる。当業者には良く知られているように、モノクロメータは、発光信号を記録するために、増倍型光電管、フォトダイオード、または同等物を用いて構成することができる。
プラズマ反応室内のこれらの光学発光分光学装置及び適当な形状は、この分野に対する当業者には明らかになるであろう。本発明に開示するのに用いるため適当なモノクロメータの例は、モデルEP200MMDであり、ベリティー、コーポレーションによって市販されている。本発明の開示に用いるのに適切な走査モノクロマイクロメータの例は、モデルEP200SMDで、これもベリティー、コーポレーションによって市販されている。本発明の開示に用いるのに適切なCCDベースの分光計の例は、ベリティー、コーポレーションによって市販されているモデルSD1024と、オーシャンオプティクスから市販されているモデルシリーズPC2000CCDである。本発明の開示に用いるのに適切なフォト検出器アレーの例は、モデルSPM900であり、ドイツ国 プレマ カンパニーから市販されている。
好ましくは、終了点の検出方法及びその装置は、基板とともに用いられ、この基板の低k誘電体材料は、ドープした酸化物、多孔性材料、有機低kフィルム等の構造内に炭素または水素あるいはこれらの両方を含んでいる。炭素含有低k誘電体材料は、炭素を含むペンダント群、あるいは誘電体材料のバックボーンが主に炭素の相互結合ネットワークからなっている炭素包含材料とすることができる。この処理に使用する窒素および酸素を包含しないプラズマは、高いアッシングを選択的に与え、かつフォトレジスト、ポリマー、および低k誘電体材料に基づく炭素または水素からの残留物により生じる従来公知の問題を克服する。さらに、この処理は、アッシングプラズマ内の窒素によって生じる次に続く金属部分の肉上がり問題を活性化させる。
アッシング処理は、プラズマガス混合物から反応種を発生させ、そして、この反応種に基板を露出させる工程を含んでいる。特に、プラズマガス混合物のプラズマコンポーネントは、その能力よって選択され、プラズマ形成条件下でガスとプラズマを形成する。選択されたガス混合物は、プラズマ形成条件下で反応性酸素種と反応性窒素種を発生する成分を含んでいない。更に、好ましくは、このガス混合物は、酸素を包含する化合物及び窒素を包含する化合物がない。ガス混合物は、水素発生ガス、例えば、水素ガス、炭化水素ガス等の多数の反応性ガスを含んでいる。ガス混合物は、さらに、アルゴン、ヘリウム、ネオン、及び同等物等からなる。ガス混合物から発生したプラズマは、第1に、フォトレジスト、ポリマー、及び残留物における炭素及び他の原子と反応し、温度及び圧力状態下で揮発する化合物を基板またはその回りに形成し、そして除去可能な化合物を洗浄する。この処理は、選択的に、50:1より大きくなるように最適化される。
この処理に用いるために最適な水素発生ガスは、水素を包含する化合物を含む。水素発生ガスは、炭化水素、水素ガスまたはその混合物である。好ましい水素発生ガスは、プラズマ形成状態下のガス状態で存在し、そして、原子的な水素種及びプラズマ形成条件下で他の水素ラジカル等の水素を解放して反応性水素を形成する。炭化水素は、確定されていないことが好ましい。水素発生炭化水素ガスの例は、メタン、エタン、プロパンを含む。
好ましい水素発生ガスは、水素発生ガスと希ガスとの混合物である。処理に用いるのに適当な希ガスの例は、周期律表のVIII群のガス、例えば、アルゴン、ネオン、ヘリウム、及び同等物である。従来の酸素を含まないプラズマ放電は、一般的に水素及び窒素のガス混合物を含む形成ガス組成を用いるけれども、処理中に窒素ガスを用いることは除かれる。その結果、形成ガスは、水素及び窒素ガスの混合物を含むガスとして定められるが、処理における形成ガスの使用は、極端に除かれている。特に、本発明において、使用するためのガス混合物は、水素ガス及びヘリウムガスを含んでいる。ヘリウムガス原子は、軽くかつ容易に基板に広がる。それゆえ、反応性水素種を発生するプラズマに対して特にキャリア特性を有する。
安全性の理由から、ガス混合物における水素ガスの百分率は、一般的にガス混合物の量に対して5%を越えることはない。しかし、水素ガスの量をより多くすることは、受け入れることができ、そして、時々、フォトレジストの除去率及び選択性を増加することは望ましい。好ましくは、ガス混合物における水素の量を、約1〜約99%にすることが望ましい。さらに、好ましくは、ガス混合物における水素の量約10〜約30%である。
作動において、フォトレジストおよび/またはポストエッチングの在留物(及び炭素含有低k誘電体材料)を有する半導体ウエハ110は、ウエハ支持ピン上の処理室内に配置される。このウエハ110は、好ましくは赤外線ランプ160によって加熱され、フォトレジストおよび/またはポストエッチング残留物とプラズマとの反応を加速させる。処理室16内の圧力は、そのとき減じられる。この圧力は、約1トル〜約5トルの間に維持される。励起しやすい酸素及び窒素を含有しないガス混合物は、清浄器内に供給され、そして、ガス入口24を介してプラズマ発生コンポーネント14のプラズマ管32に供給される。プラズマ発生コンポーネント14のセクション26,28,30には、プラズマ管32内のプラズマを励起するために、マイクロ波エネルギーが供給され、プラズマ管内のプラズマは、電気的に中性でありかつ荷電粒子を含んでいる。荷電された粒子は、好ましくは、処理室16にプラズマが入る前に選択的に取り除かれる。励起されたかつエネルギーを有するガス原子は、処理室内に供給され、ウエハを横切って均一に分配される。ウエハでは、水素原子がフォトレジストおよび/またはポストエッチング残留物と反応し、フォトレジスト材料を除去し、そして揮発性の生成物を形成する。フォトレジスト材料と揮発性生成物は、連続的にウエハ表面から中央に配置される排気導管170に排出される。
プラズマアッシングと同時に、酸化ガスは、処理室16から下流に配置される排気導管170内に供給される。酸素は、処理室から排気導管170内により大きいヘリウム/水素の流速によって課される「プラグ−フロー(plug-flow)」条件下にある処理室16内に入らないようにする。アフターバーナーアセンブリ178は、排気導管170内に高濃度のプラズマを形成するように駆動される。排気導管170にCCDベースの分光計を配置して、このCCD分光計が、もし存在するならば、フォトレジスト材料および揮発性生成物に対応する発光信号を含む発光スペクトルを順次記録する。監視された発光スペクトルの波長範囲は、使用されるCCD分光計の形式によって決定され、かつ使用されるフィルタによって、ある波長の発光がCCD分光計に到達するのを排除する。CCD分光計の測定パラメータが、バックグラウンド放射線およびこの放射線がアッシング処理中に放射した種からの放射線を記録する。従来公知の標準アルゴリズムを用いて、バックグラウンド放射線は、プラズマとフォトレジストおよび/または生成物との反応から生じる放射線を取り除くことができる。発光ピークにより強さの変化が記録され、終了点アルゴリズムによって設定された条件に一致すると、フォトレジストおよび/または残留物の除去が完全であり、信号が制御ユニットに送られ、そして、プラズマがターンオフされる。真空が解除され、そして処理されたウエハは、処理室から取り除かれる。ストリップされたウエハ上に残留する残留物を取り除くために、選択的な水洗浄が用いられる。
モノクロメータを用いるプラズマアッシャーにおいて、生の被膜されていないウエハが処理室16内で露光され、排気導管170内で所望波長の第1発光信号が測定される。この第1発光信号は、上述したようなバックグラウンド放射線を表わす。次に、表面上(炭素含有の低k誘電体材料を含む)にフォトレジストまたは残留物あるいはこれらの両方を含む基板は、処理室内でプラズマに晒される。所望波長で放射された第2発光信号は、モノクロメータによって排気導管内で記録される。第1発光信号のバックグラウンド放射線は、第2発光信号から引き算される。所望波長にある第2発光信号が定常状態に達し、そして、第1発光信号と同一またはそれ以下になると、処理室16内においてアッシング終了点に到達したことになり、プラズマアッシャー10内の制御ユニットに信号が送られ、プラズマがターンオフされる。これにより、真空が解除されて処理されたウエハが処理室から取り除かれる。そして、ストリップされたウエハ上に残留する残留物を取り除くために、選択的に洗浄水が用いられる。
処理室から放出されたプラズマ生成物を監視するための、他のモノクロメータ、分光計、及び同等物の形状及びその動作については、この開示を考慮して、当業者であれば明らかであろう。好ましくは、1つ以上の発光信号は、283nm、309nm、約387nm、約431nm、約434nm、約468nm、約472nm、約513nm、約516nm、約656nm、約668nm、約777nm、約845nmの波長(約±5〜10nm)が排気導管170において監視される。これらの発光信号は、フォトレジスト材料、反応物、及びフォトレジストコンポーネントの混合物によって形成されるプラズマ生成物、処理室からの窒素及び酸素のない排出物、及びアフターバーナーアセンブリによって発生した酸素プラズマ放電に対するスペクトルピークを表わす。たとえば、ダイマ−(dimer)C2に関係する所謂「スワン バンド(Swan bands)」は、約513nm及び約517nmの波長であることが明らかである。排気導管内の酸化ガスに加えて、431nmのCO/CH種及び約387nmのCN種からの発光信号が容易に監視することができる。
最も多くのIラインフォトレジストは、ジアゾナフトキノン化学に基づくので、CNの発光信号の強さを監視することは、Iラインフォトレジストのアッシング終了点検出のために有益である。さらに、発光信号の強さは、排気導管内で増加または減少し、また、処理室内のアッシング処理の終了を決めるのに用いることができる。例えば、処理室におけるフォトレジストのプラズマアッシング中、この発光信号の強さは、完了に近いフォトレジストのアッシング時に、約434nm及び約656nmでのH、約283nm及び309nmでのOH、及び約770nmでのOに関する各発光種に対して増加する。このように、酸素及び窒素を含有しないプラズマアッシング処理を用いて、炭素含有低k誘電体を含む基板からフォトレジスト材料及びポストエッチング残留物を取り除くことができる。一方、排気導管170内の反応物および/または生成物の発光信号を監視することによって酸素及び窒素を含有しないプラズマに対するアッシング終了点を決定するために、第2酸化プラズマが排気導管170内に形成される。
別の方法で特定されなければ、種々のコンポーネント12,14,16、及び18を製造するための材料は、金属、セラミック、ガラス、ポリマー、複合材料及びこれらの材料の少なくとも1つを含む組み合せを含んでいる。例えば、適当な金属としては、陽極処理されたアルミニウム、および/またはステンレス鋼を含む。適当なセラミック材料は、炭化けい素またはアルミニウム酸化物(例えば、単結晶または多結晶の)を含む。
次の例は、説明のみの目的のために示すものであり、本発明の範囲を限定するものではない。
実施例1
この例において、OHまたはHに対する光学発光信号は、図1に示すプラズマアッシング装置において、アフターバーナーから下流にある排気ガス導管内で監視された。ヘリウムがプラズマ装置内に7,000sccmの流量でかつ1.5トルの圧力で導入された。フォトレジストを含む基板が、加熱ラップで露光され、ウエハを300℃にまでゆっくりと加熱され、そして、ウエハ表面から有機物を揮発させた。プラズマは、このとき処理室内に作り出されない。RF出力が500Wでアフターバーナーが動作中、酸素ガスは、1,000sccmの流量で排気導管内に導かれる。処理室内には、酸素は導入されなかった。
OH及びHに対する光学発光信号は、処理室内のプラズマアッシング処理の終了点を決定するために、一定時間、排気導管が監視された。図9は、時間の経過に対するこれらの光学発光信号の光の強さを図示している。ウエハから昇華した有機物がアフターバーナー内で酸化されたことを観測した。異なる有機物の種が、異なる温度で昇華するので、図9において、多数のピークが異なる温度設定に対応する。ウエハの加熱と同時にプラズマが処理室内で用いられると、これらの種に対する処理室内に発光が生じないので、これら多くの信号(H及びOHに対応する)を処理室における終了点検出のために用いることができない。
実施例2
この例では、図1に示すように、CO2が、プラズマアッシャー装置の排気導管内で監視された。CO2は、排気導管内に配置されたアフターバーナーによって形成されたプラズマの有無に関係なく残留ガス分析器によって監視された。実施例1と同様、プラズマに晒すことなくレジスト被膜されたウエハがゆっくりと300℃に加熱された。ヘリウムは、7,000sccmの流量でかつ1.5トルの圧力でプラズマ装置内に導入された、300WのRF出力で、アフターバーナーの動作中、酸素ガスが、排気導管内に1,000sccmの流量で導入された、処理室内には、酸素は導入されなかった。図10は、排気導管内のプラズマの発生から生じる、時間に対するCO2の生成が示されている。アフターバーナーが用いられないと、検出可能なCO2の発光が生じない。しかし、処理室からアフターバーナーに有機物を晒すことにより、CO2の強い発光が生じる。処理室内において、ウエハが酸素及び窒素を含有しないプラズマ、例えば、ヘリウムガスと水素ガスの混合物から形成されるプラズマに晒されたとしてもCO2から放出される発光信号がないことがわかる。
実施例3
この例では、希釈テストが実行され、処理室内に酸素ガスが逆流しないように、上流のヘリウムの流量を最小限にするように決定する。酸素は、排気導管内に1,000sccmの流量で導入された、プラズマ装置内にヘリウムヘリウムガスが最初に7,000sccmの流量で流れ、そして、段階的に減少させた。残留ガスの分析は、アフターバーナーの上流で行われ、ヘリウム、窒素、及び酸素の部分的圧力が監視された。図11は、時間と希釈に対するヘリウム、窒素、及び酸素の部分的圧力を図示する。ヘリウムが約175sccmの流量であるとき、酸素が上流の残留ガス分析器内に逆流する。この分析器は、炭素含有の低k誘電体に対して電位的に有害になる。
実施例4
この例では、処理室内に1分につき標準7リッター(slm)のヘリウムの流れと、アフターバーナー側部に供給されるO2の1リッター(slm)の流れを用いて、レジスト被膜されたウエハがゆっくり加熱される。反応物(O,CN)及び生成物(OH)に対する光学発光信号の変化が観測される。ウエハが加熱されると、揮発性生成物が、昇華し、かつアフターバーナー内で浪費される。このOH信号は、個々に示すように上昇し、O信号は、対応して降下する。また、CNを作り出すのに用いられた炭素は、COおよびCO2を作るのに用いられ、CN信号が対応して降下する。
実施例5
この例では、6,000オングストロームのDUVフォトレジストが、ウエハ上に被覆され、かつ図1に関して図示されかつ説明されたものと同様なプラズマアッシング装置内で処理された。4%の水素と96%のヘリウムガスの混合物(体積百分率)が、プラズマが発生したところから10slmの流量でプラズマ管に導入された。ウエハは、処理室内のプラズマに晒され、そして、その中に生じた排出物が処理室から排気導管内に放出された。CO/CH(431nm)、C2ダイマー(517nm)、H(656nm)、及びHe(668nm)の各種に関連する波長が、駆動されたアフターを通過した後、排気導管内で監視された。その結果が、図13に示されている。
図示するように、水素/ヘリウム プラズマアッシング処理が、フォトレジスト材料を取り除くために、約30秒間行われた。水素及びヘリウムの化学種は、時間の経過に従って増加し、これらの種は、処理室内のフォトレジスト材料のプラズマアッシング除去に関連して減少する。対照的に、これらの種がもはや発生しないので、C2ダイマー及びCO/CH発光性の種が、時間の経過に応じて減少し、ウエハはクリアにされる。いずれか1つまたは監視された種の組み合わせが強力な終了点の測定工程を提供することができる。
実施例6
この例では、6,000オングストロームのDUVフォトレジストが、ウエハ上に被覆され、かつ実施例5のようなプラズマアッシング装置内で処理された。水素/ヘリウムのアッシング処理によって処理室内に生成した酸素及び窒素を含有しないプラズマ排出物とともに、排気導管内で酸化プラズマを生じるために、1slmの流量で酸素が排気導管(例えば、処理室ではなくてアフターバーナーアセンブリ)に導入された。CO/CH(431nm)、C2ダイマー(517nm)、及びOH(309nm)の各種に関連する波長が、駆動されたアフターを通過した後、排気導管内で監視された。この例において、ダミーウエハ(フォトレジスト材料がない)が、また同一処理内に露出された。この結果が図14に示されている。
約20秒〜約110秒の間で、発光の強さにおける変化は、ダミーウエハに対して観測された。約185〜約270秒で、発光信号の強さにおける変化が、フォトレジストがストリップされるとき、被覆されたウエハに対して観測される。
実施例7
この例では、1.8ミクロンの厚さに被覆されたIラインフォトレジストが、図1に関して図示されかつ記載されたような1つと同等のプラズマアッシング装置内で処理された。4%の水素と96%のヘリウムガスの混合物(体積百分率)が、プラズマが発生したところから10slmの流量でプラズマ管に導入された。1slmの流量で酸素が排気導管に導入された。CO/CH(431nm)、C2ダイマー(517nm)、O(777nm及び845nm)、及びH(434nm)の各種に関連する波長が、駆動されたアフターを通過した後、排気導管内で監視された。その結果が、図15に示されている。
EPからEP2に関して、大きい信号対雑音比を与えるための、4の軌跡の代数的な操作に言及する。図示するように、終了点検出は、約100秒のプラズマアッシング処理の後、個々の波長用いてまたは4つの奇跡のアルゴリズムを与えることによって正確に決定しうる。
好ましい実施形態に関連してこれまで開示してきたことから、当業者であれば、種々の変更が可能であり、かつ開示した特許請求の範囲から逸脱しないで、構成要素を他の等価物に置き換えることができる。さらに、多くの修正として、主たる開示範囲から逸脱しないで開示された教示に対して特定の状況または材料を採用することができる。それゆえ、本発明は、本発明を実行するために考えられるベストモードとして開示した特定の実施形態に制限されるものではなく、本発明は、添付の特許請求の範囲の範囲内の全ての実施形態を含んでいる。
図1は、下流型プラズマアッシング装置の断面図である。 図2は、プラズマアッシング装置に用いるためのマイクロ波エンクロージャーの斜視図である。 図3は、下流型プラズマアッシング装置を用いるのに適したプラズマ発生構成要素を概略的に示す断面図である。 図4は、プラズマアッシング装置の斜視図である。 図5は、ガス分布システムを設置したフォトレジストアッシング処理室の一部切り欠いてみた斜視図である。 図6は、本発明の一実施形態に従うガス分布システムの平面図である。 図7は、図6の6−6線に沿って見たバッフル板アセンブリの断面図である。 図8は、本発明の他の実施形態に従うガス分布システムの平面図である。 図9は、酸素及び窒素が含有しない環境で、かつプラズマアッシング装置の排気管内で酸化される場合に、ウエハを300℃の温度に加熱してウエハから純化した有機物質から発生したOH種のために放出された光の強さの時間的変化を示すグラフである。 図10は、酸素及び窒素を含有しない残留ガス分析器を用いて測定され、そして、プラズマアッシング装置の排気管内で酸化された、炭酸ガスの部分的圧力に対する時間的進化を示すグラフである。 図11は、プラズマアッシング装置(例えば、ウエハ処理室から下流にある)の排気管内に酸素ガスを導き、ヘリウムの流量速度を変化させたとき、バーナー及び入口から上流に測定されたヘリウム、窒素、及び酸素に対して部分的圧力の残留ガス分析を示すグラフである。 図12は、フォトレジスト被膜されたウエハの段階的に加熱して生じた、種々のフォトレジスト構成要素(OおよびCN)と生産物(OH)を示す光信号の時間的進行を示す図である。 図13は、終了点監視工程における酸化ガスを使用することなく、水素/ヘリウムアッシング処理を用いるDUVフォトレジスト除去処理の時間的進行を示す図である。 図14は、終了点監視処理における酸化ガスを使用し、水素/ヘリウムアッシング処理を用いるDUVフォトレジスト除去処理の時間的進行を示す図である。 図15は、終了点監視処理における酸化ガスを使用し、水素/ヘリウムアッシング処理を用いるI−ラインフォトレジスト除去処理の時間的進行を示す図である。

Claims (20)

  1. 基板からフォトレジストまたはポストエッチング残留物あるいはこれらの両方を除去するためのプラズマアッシング装置であって、
    第1ガス源と、
    この第1ガス源に流体連通し、前記基板からフォトレジストまたはポストエッチング残留物あるいはこれらの両方を選択的に除去するための第1プラズマを発生させるプラズマ発生コンポーネントと、
    前記プラズマを受け入れるために、前記プラズマ発生コンポーネントと流体連通し、内部に前記基板を含む処理室と、
    この処理室と流体連通し、前記プラズマ発生コンポーネントと軸方向に一列に並んでいる排気導管と、
    この排気導管に結合し、アフターバーナーアセンブリのプラズマ放電領域内に焦点合わせされる集束光学系を含む光学検出システムとを含み、
    前記排気導管は、第2ガス源を導くためのポートと、前記排気導管に結合される前記アフターバーナーアセンブリとを含み、前記ポートは、前記処理室とアフターバーナーアセンブリの中間に配置され、さらに、前記第1ガス源は、酸素及び窒素を含まないガスであり、前記第2ガス源は、酸化ガスであることを特徴とする装置。
  2. 前記アフターバーナーアセンブリは、前記排気導管内に第2プラズマを発生させる手段を含み、前記第2プラズマが前記第2ガス源から発生し、かつ排出物が前記処理室から放出されることを特徴とする請求項1記載のプラズマアッシング装置。
  3. 前記排気導管は、前記光学検出システムによって監視される波長に対して光学的に透過する材料から形成されていることを特徴とする請求項1記載のプラズマアッシング装置。
  4. 前記アフターバーナーアセンブリは、前記排気導管の外周に巻かれたRFコイルと、このRFコイルと電気的に接続されたマッチボックスと、このマッチボックスに電気的に接続された電源とを含んでいることを特徴とする請求項1記載のプラズマアッシング装置。
  5. 前記アフターバーナーアセンブリの下流に配置される、スロットルバルブとフォーラインバルブをさらに含むことを特徴とする請求項1記載のプラズマアッシング装置。
  6. 前記光学検出システムは、モノクロメータ、スペクトロメータ、またはバンド選択フィルタを用いることを特徴とする請求項1記載のプラズマアッシング装置。
  7. 前記ガス源及びプラズマ発生コンポーネントに流体連通する清浄器をさらに含むことを特徴とする請求項1記載のプラズマアッシング装置。
  8. 低k誘電体は、炭素または水素あるいはこれらの両方を含んでいることを特徴とする請求項1記載のプラズマアッシング装置。
  9. 前記基板は、誘電率が約3.5未満の、炭素または水素あるいはこれらの両方を含有する絶縁層を含むことを特徴とする請求項1記載のプラズマアッシング装置。
  10. 基板からフォトレジストまたは残留物あるいはこれらの両方を取り除くために、酸素及び窒素を含有しないプラズマアッシング処理のための終了点検出方法であって、
    プラズマアッシング装置の排気導管内に酸化ガスとプラズマアッシング排出物を導き、
    前記酸化ガスと前記プラズマアッシング排出物からプラズマを発生させ、発光性の種を形成し、
    この発光性の種に関連する発光信号の強さを光学的に監視する、各工程を含み、
    前記プラズマアッシング装置は、プラズマ管と流体連通する処理室を含み、前記排気導管は、前記プラズマ管と軸方向に一列に並び、
    前記プラズマアッシング排出物は、フォトレジスト材料、ポストエッチング残留物、及びポストアッシングの生成物であり、かつ窒素及び酸素の種が除かれており、さらに、
    酸素と窒素が含有しないプラズマアッシング処理の終了点は、発光性の種に関連する発光信号の強さが、所定の閾値より大きいかまたは小さい値に変化した時に検出されることを特徴とする終了点検出方法。
  11. 発光信号の強さは、約283nm、約309nm、約387nm、約431nm、約434nm、約468nm、約472nm、約513nm、約516nm、約656nm、約668nm、約777nm、約845nmの波長、またはこれらの波長の少なくとも1つの組み合せであることを特徴とする請求項10記載の終了点検出方法。
  12. 前記基板は、誘電率が約3.5未満の、炭素または水素あるいはこれらの両方を含有する絶縁層を含むことを特徴とする請求項10記載の終了点検出方法。
  13. 酸化ガスは、酸素からなることを特徴とする請求項10記載の終了点検出方法。
  14. 発光性の種を光学的に監視する工程は、酸化ガスからのプラズマ及びプラズマアッシング放電のためのプラズマ放電領域の位置またはその近くに光学的検出器の集束光学系を焦点合わせすることを特徴とする請求項10記載の終了点検出方法。
  15. 炭素を含有する低k誘電体材料を有する基板からフォトレジスト材料を取り除くために使用される、酸素及び窒素を含有しないプラズマアッシング処理のための終了点検出方法であって、
    処理室内で、フォトレジスト材料を基板から取り除き、そして揮発性生成物を形成する
    ために、基板を酸素及び窒素を含有しないプラズマに露出させ、前記プラズマは、前記プラズマ管から前記処理室内に導かれ、
    前記処理室から排気導管に、前記フォトレジスト材料および揮発性生成物を排出し、前記排気導管は、前記プラズマ管と軸方向に一列に並んでおり、
    酸化ガスを前記処理室内に流さないように、前記酸化ガスを前記排気導管内に導き、
    前記酸化ガス、排出されたフォトレジスト材料および揮発性の生成物から、前記排気導管内にプラズマを発生させ、
    約283nm、約309nm、約387nm、約431nm、約434nm、約468nm、約472nm、約513nm、約516nm、約656nm、約668nm、約777nm、約845nmmの波長、またはこれらの波長の少なくとも1つの組み合せに関連して、排気導管内の発光信号の強さを測定し、
    前記排気導管内で、前記発光信号における観測した変化に応答して、酸素及び窒素を含有しないプラズマアッシングの終了点を決定する、各工程を含むことを特徴とする検出方法。
  16. 炭素を含有する低k誘電体材料を有する基板からフォトレジスト材料または残留物を取り除くために使用される、酸素及び窒素を含有しないプラズマアッシング処理のための終了点検出方法であって、
    水素ガス、ヘリウムガス、または前記ガスの少なくとも1つを含む組み合せからなるガス混合物から酸素及び窒素を除いて処理室内に第1プラズマを発生させ、
    前記基板からフォトレジスト材料または残留物を選択的に取り除くために、前記第1プラズマに対して前記処理室内に設けられた前記基板を露出させ、前記第1プラズマは、前記プラズマ管から前記処理室内に導かれ、
    前記取り除かれたフォトレジスト材料または残留物を前記基板から排気導管に排出し、前記排気導管は、前記プラズマ管と軸方向に一列に並んでおり、
    発光性の種を生じさせるために、前記排出導管内に第2プラズマを発生させ、
    前記発光性の種を光学的に監視し、前記発光性の種の光の強さが所定の閾値よりも大きいかまたは少ないかとき、第1プラズマの終了点が検出される、各工程を含むことを特徴とする検出方法。
  17. 第2プラズマを発生させる工程は、酸化ガスが処理室内に流れないように、排気導管内に前記酸化ガスを導くことを含んでいる請求項16記載の検出方法。
  18. 前記第2プラズマは、酸化ガスが除かれていることを特徴とする請求項16記載の検出方法。
  19. 前記発光性の種を監視する工程は、処理室内に存在する反応種に関連する発光性の種の波長を監視することを含んでいる請求項16記載の検出方法。
  20. 前記発光性の種を監視する工程は、第2プラズマと、取り除かれたフォトレジストまたは残留物との間の反応によって生じた種を光学的に監視するために発光性の種の波長を監視することを特徴とする請求項16記載の検出方法。
JP2006533306A 2003-05-22 2004-05-21 プラズマアッシング装置及び終了点検出プロセス Expired - Fee Related JP4788917B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/249,964 2003-05-22
US10/249,964 US20040235299A1 (en) 2003-05-22 2003-05-22 Plasma ashing apparatus and endpoint detection process
PCT/US2004/016075 WO2004107413A2 (en) 2003-05-22 2004-05-21 Plasma ashing apparatus and endpoint detection process

Publications (2)

Publication Number Publication Date
JP2007501534A JP2007501534A (ja) 2007-01-25
JP4788917B2 true JP4788917B2 (ja) 2011-10-05

Family

ID=33449402

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006533306A Expired - Fee Related JP4788917B2 (ja) 2003-05-22 2004-05-21 プラズマアッシング装置及び終了点検出プロセス

Country Status (8)

Country Link
US (2) US20040235299A1 (ja)
EP (1) EP1625610B1 (ja)
JP (1) JP4788917B2 (ja)
KR (1) KR101227199B1 (ja)
CN (1) CN1802722B (ja)
DE (1) DE602004016147D1 (ja)
TW (1) TWI233641B (ja)
WO (1) WO2004107413A2 (ja)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI240326B (en) * 2002-10-31 2005-09-21 Tokyo Electron Ltd Method and apparatus for determining an etch property using an endpoint signal
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US7794663B2 (en) * 2004-02-19 2010-09-14 Axcelis Technologies, Inc. Method and system for detection of solid materials in a plasma using an electromagnetic circuit
DE102004042431B4 (de) * 2004-08-31 2008-07-03 Schott Ag Verfahren und Vorrichtung zur Plasmabeschichtung von Werkstücken mit spektraler Auswertung der Prozessparameter und Verwendung der Vorrichtung
WO2006026765A2 (en) * 2004-09-01 2006-03-09 Axcelis Technologies, Inc. Plasma ashing process for increasing photoresist removal rate and plasma apparatus wuth cooling means
US7242007B2 (en) * 2004-10-01 2007-07-10 Honeywell International Inc. Small-gap light sensor
US20060137711A1 (en) * 2004-12-27 2006-06-29 Kun-Yuan Liao Single-wafer cleaning procedure
US20070272270A1 (en) * 2004-12-27 2007-11-29 Kun-Yuan Liao Single-wafer cleaning procedure
DE102005035772A1 (de) * 2005-07-29 2007-02-01 Advanced Micro Devices, Inc., Sunnyvale Technik zum effizienten Strukturieren einer Höckerunterseitenmetallisierungsschicht unter Anwendung eines Trockenätzprozesses
US7642195B2 (en) * 2005-09-26 2010-01-05 Applied Materials, Inc. Hydrogen treatment to improve photoresist adhesion and rework consistency
US7381651B2 (en) * 2006-03-22 2008-06-03 Axcelis Technologies, Inc. Processes for monitoring the levels of oxygen and/or nitrogen species in a substantially oxygen and nitrogen-free plasma ashing process
US8932430B2 (en) * 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
JP4792369B2 (ja) * 2006-10-13 2011-10-12 東京エレクトロン株式会社 基板処理装置、及び基板処理の終点検出方法
KR100829603B1 (ko) * 2006-11-23 2008-05-14 삼성전자주식회사 에어 갭을 갖는 반도체 소자의 제조 방법
KR20100065321A (ko) * 2007-08-07 2010-06-16 피포탈 시스템즈 코포레이션 가스의 화학적 조성을 확인하는 방법 및 장치
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
US9997325B2 (en) 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
US8603243B2 (en) * 2008-07-31 2013-12-10 The United States Of America, As Represented By The Secretary Of The Navy Tracking carbon to silicon ratio in situ during silicon carbide growth
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US20110108058A1 (en) * 2009-11-11 2011-05-12 Axcelis Technologies, Inc. Method and apparatus for cleaning residue from an ion source component
US20110232677A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
WO2011137371A2 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Vertical inline cvd system
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
JP6060242B2 (ja) * 2010-11-30 2017-01-11 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びバッフル構造
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US20120270384A1 (en) * 2011-04-22 2012-10-25 Applied Materials, Inc. Apparatus for deposition of materials on a substrate
WO2012148370A1 (en) * 2011-04-27 2012-11-01 Axcelis Technologies, Inc. Substantially non-oxidizing plasma treatment devices and processes
KR101874901B1 (ko) 2011-12-07 2018-07-06 삼성전자주식회사 기판 건조 장치 및 방법
US9867238B2 (en) * 2012-04-26 2018-01-09 Applied Materials, Inc. Apparatus for treating an exhaust gas in a foreline
JP2014007370A (ja) * 2012-06-01 2014-01-16 Tokyo Electron Ltd プラズマエッチング方法
KR101296958B1 (ko) * 2012-09-10 2013-08-14 세종대학교산학협력단 플라즈마 입자 촬상 장치 및 이를 이용한 식각 종말점 탐지 방법
US9064948B2 (en) 2012-10-22 2015-06-23 Globalfoundries Inc. Methods of forming a semiconductor device with low-k spacers and the resulting device
US10249509B2 (en) 2012-11-09 2019-04-02 Tokyo Electron Limited Substrate cleaning method and system using atmospheric pressure atomic oxygen
KR101359320B1 (ko) * 2012-12-27 2014-02-10 한국기초과학지원연구원 전자파-고주파 혼성 플라즈마 토치
KR102376429B1 (ko) 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
CN104377107A (zh) * 2014-09-24 2015-02-25 上海华力微电子有限公司 一种用于SiCoNi蚀刻工艺的蚀刻装置
US10068781B2 (en) * 2014-10-06 2018-09-04 Lam Research Corporation Systems and methods for drying high aspect ratio structures without collapse using sacrificial bracing material that is removed using hydrogen-rich plasma
US10008396B2 (en) 2014-10-06 2018-06-26 Lam Research Corporation Method for collapse-free drying of high aspect ratio structures
CN104797072B (zh) * 2015-04-16 2018-06-19 大连交通大学 一种电感耦合式射频等离子体源
JP6661283B2 (ja) 2015-05-14 2020-03-11 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理方法
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
KR102477302B1 (ko) 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
KR101904274B1 (ko) * 2016-05-17 2018-10-05 (주)티티에스 기판 처리 장치 및 이를 이용한 플라즈마 처리 방법
US10267728B2 (en) * 2016-09-28 2019-04-23 Lam Research Corporation Systems and methods for detecting oxygen in-situ in a substrate area of a substrate processing system
US20180143332A1 (en) * 2016-11-18 2018-05-24 Plasma-Therm Llc Ion Filter
CN110140190B (zh) * 2016-12-09 2022-02-18 应用材料公司 用于前级固体形成量化的石英晶体微量天平的利用
JP6861817B2 (ja) * 2016-12-14 2021-04-21 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. 急速熱活性化プロセスと連係した、プラズマを使用する原子層エッチングプロセス
CN107015445A (zh) * 2017-03-27 2017-08-04 武汉新芯集成电路制造有限公司 半导体结构表面处理方法
US11020778B2 (en) * 2018-07-12 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist removal method using residue gas analyzer
US10854433B2 (en) * 2018-11-30 2020-12-01 Applied Materials, Inc. In-situ real-time plasma chamber condition monitoring
CN109616405A (zh) * 2018-12-05 2019-04-12 上海华力微电子有限公司 半导体刻蚀工艺真空腔体设备及刻蚀方法
CN111837220B (zh) * 2019-02-15 2023-07-25 株式会社日立高新技术 气体成分的监视方法及其装置、以及使用了其的处理装置
US11849543B2 (en) 2019-10-29 2023-12-19 Hzo, Inc. Plasma ashing for coated devices
WO2021092267A1 (en) * 2019-11-05 2021-05-14 Hzo, Inc. Sensor apparatus and plasma ashing system
CN113394074A (zh) * 2020-03-11 2021-09-14 长鑫存储技术有限公司 半导体结构的处理方法
US20210407773A1 (en) * 2020-06-24 2021-12-30 Hzo, Inc. Gasketing and Plasma Ashing for Coated Devices
WO2023122371A1 (en) * 2021-12-20 2023-06-29 Massachusetts Institute Of Technology Continuous emissions monitor for directed-energy borehole drilling

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5884431A (ja) * 1981-11-13 1983-05-20 Nec Corp プラズマエツチング装置
JP2000091306A (ja) * 1998-09-15 2000-03-31 Japan Science & Technology Corp シリコン表面の平坦化方法
JP2001085410A (ja) * 1999-09-14 2001-03-30 Fujitsu Quantum Devices Ltd エッチング方法及びエッチング装置
WO2001047009A2 (en) * 1999-12-21 2001-06-28 Lam Research Corporation Method and apparatus for detecting the endpoint of a photoresist stripping process
JP2001189305A (ja) * 1999-11-05 2001-07-10 Axcelis Technologies Inc 無酸素プラズマ処理における終了点の決定方法およびアッシング方法
JP2002525867A (ja) * 1998-09-24 2002-08-13 アプライド マテリアルズ インコーポレイテッド 非イオン化ガスのリアクター環境における終点を検出するための装置及び方法

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
JPH0722151B2 (ja) * 1984-05-23 1995-03-08 株式会社日立製作所 エツチングモニタ−方法
US4773355A (en) * 1985-06-10 1988-09-27 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition
JPS62294180A (ja) 1986-06-13 1987-12-21 Canon Inc プラズマcvd法による堆積膜形成装置
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH03147318A (ja) * 1989-11-01 1991-06-24 Hitachi Ltd エッチング終点判定装置
US5212116A (en) * 1990-06-18 1993-05-18 At&T Bell Laboratories Method for forming planarized films by preferential etching of the center of a wafer
US5356673A (en) * 1991-03-18 1994-10-18 Jet Process Corporation Evaporation system and method for gas jet deposition of thin film materials
US5498308A (en) * 1994-02-25 1996-03-12 Fusion Systems Corp. Plasma asher with microwave trap
JP2872637B2 (ja) * 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6045618A (en) 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US5552017A (en) * 1995-11-27 1996-09-03 Taiwan Semiconductor Manufacturing Company Method for improving the process uniformity in a reactor by asymmetrically adjusting the reactant gas flow
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6471822B1 (en) * 1996-01-24 2002-10-29 Applied Materials, Inc. Magnetically enhanced inductively coupled plasma reactor with magnetically confined plasma
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5961851A (en) 1996-04-02 1999-10-05 Fusion Systems Corporation Microwave plasma discharge device
US5880850A (en) * 1996-04-18 1999-03-09 American Air Liquide Inc Method and system for sensitive detection of molecular species in a vacuum by harmonic detection spectroscopy
US5986275A (en) * 1996-06-07 1999-11-16 The University Of Virginia Patent Foundation Reusable shielded marker for nuclear medicine imaging
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5928426A (en) * 1996-08-08 1999-07-27 Novellus Systems, Inc. Method and apparatus for treating exhaust gases from CVD, PECVD or plasma etch reactors
KR19990071626A (ko) * 1996-09-24 1999-09-27 매튜스 죤 씨. 사파이어플라즈마애셔에서기판으로부터잔류물을제거하는방법및그장치
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5994678A (en) * 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US5968275A (en) 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
JP4147608B2 (ja) * 1998-03-06 2008-09-10 東京エレクトロン株式会社 熱処理装置
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6190507B1 (en) * 1998-07-24 2001-02-20 The United States Of America As Represented By The Department Of Energy Method for generating a highly reactive plasma for exhaust gas aftertreatment and enhanced catalyst reactivity
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6368567B2 (en) 1998-10-07 2002-04-09 Applied Materials, Inc. Point-of-use exhaust by-product reactor
US6366346B1 (en) * 1998-11-19 2002-04-02 Applied Materials, Inc. Method and apparatus for optical detection of effluent composition
US6263830B1 (en) * 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
US6422002B1 (en) * 1999-07-23 2002-07-23 The United States Of America As Represented By The United States Department Of Energy Method for generating a highly reactive plasma for exhaust gas aftertreatment and enhanced catalyst reactivity
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
US6259072B1 (en) * 1999-11-09 2001-07-10 Axcelis Technologies, Inc. Zone controlled radiant heating system utilizing focused reflector
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6783627B1 (en) * 2000-01-20 2004-08-31 Kokusai Semiconductor Equipment Corporation Reactor with remote plasma system and method of processing a semiconductor substrate
US6367412B1 (en) * 2000-02-17 2002-04-09 Applied Materials, Inc. Porous ceramic liner for a plasma source
US6444039B1 (en) * 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
US6391146B1 (en) * 2000-04-11 2002-05-21 Applied Materials, Inc. Erosion resistant gas energizer
US6592817B1 (en) * 2000-03-31 2003-07-15 Applied Materials, Inc. Monitoring an effluent from a chamber
US6537419B1 (en) 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
DE10025699A1 (de) * 2000-05-23 2001-12-06 Merck Patent Gmbh Emulgier- und Trennvorrichtung für flüssige Phasen
US6633391B1 (en) * 2000-11-07 2003-10-14 Applied Materials, Inc Monitoring of film characteristics during plasma-based semi-conductor processing using optical emission spectroscopy
US6538734B2 (en) * 2000-11-29 2003-03-25 Lightwind Corporation Method and device utilizing real-time gas sampling
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US20020144706A1 (en) * 2001-04-10 2002-10-10 Davis Matthew F. Remote plasma cleaning of pumpstack components of a reactor chamber
US6630406B2 (en) 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
US6562700B1 (en) * 2001-05-31 2003-05-13 Lsi Logic Corporation Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
US6663333B2 (en) 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
JP2003158080A (ja) * 2001-11-22 2003-05-30 Mitsubishi Electric Corp 半導体製造装置、半導体製造装置における堆積物除去方法、および半導体装置の製造方法
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5884431A (ja) * 1981-11-13 1983-05-20 Nec Corp プラズマエツチング装置
JP2000091306A (ja) * 1998-09-15 2000-03-31 Japan Science & Technology Corp シリコン表面の平坦化方法
JP2002525867A (ja) * 1998-09-24 2002-08-13 アプライド マテリアルズ インコーポレイテッド 非イオン化ガスのリアクター環境における終点を検出するための装置及び方法
JP2001085410A (ja) * 1999-09-14 2001-03-30 Fujitsu Quantum Devices Ltd エッチング方法及びエッチング装置
JP2001189305A (ja) * 1999-11-05 2001-07-10 Axcelis Technologies Inc 無酸素プラズマ処理における終了点の決定方法およびアッシング方法
WO2001047009A2 (en) * 1999-12-21 2001-06-28 Lam Research Corporation Method and apparatus for detecting the endpoint of a photoresist stripping process

Also Published As

Publication number Publication date
KR20060017806A (ko) 2006-02-27
EP1625610A2 (en) 2006-02-15
TWI233641B (en) 2005-06-01
TW200503087A (en) 2005-01-16
EP1625610B1 (en) 2008-08-27
DE602004016147D1 (de) 2008-10-09
CN1802722B (zh) 2010-09-29
US20040235299A1 (en) 2004-11-25
KR101227199B1 (ko) 2013-01-28
US8268181B2 (en) 2012-09-18
WO2004107413A2 (en) 2004-12-09
WO2004107413A3 (en) 2006-02-16
CN1802722A (zh) 2006-07-12
JP2007501534A (ja) 2007-01-25
US20100055807A1 (en) 2010-03-04

Similar Documents

Publication Publication Date Title
JP4788917B2 (ja) プラズマアッシング装置及び終了点検出プロセス
EP1625605B1 (en) Downstream plasma treatment device
US20130248113A1 (en) Substantially non-oxidizing plasma treatment devices and processes
EP1098189B1 (en) Method for detecting an end point for an oxygen free plasma process
KR101392646B1 (ko) 저유전상수 유전 물질로부터 포토레지스트 및 에칭 후잔여물을 제거하기 위한 가스 혼합물 및 그 사용 방법
US20100130017A1 (en) Front end of line plasma mediated ashing processes and apparatus
TWI253702B (en) Monitoring an effluent from a chamber
WO2012018374A2 (en) Plasma mediated ashing processes
US8119413B2 (en) Method and system for detection of solid materials in a plasma using an electromagnetic circuit
WO2012148370A1 (en) Substantially non-oxidizing plasma treatment devices and processes

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070521

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100520

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100526

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100825

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100901

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100927

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101201

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110330

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110411

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110608

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110705

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140729

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees
S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R370 Written measure of declining of transfer procedure

Free format text: JAPANESE INTERMEDIATE CODE: R370