TWI230433B - Isolation structures for imposing stress patterns - Google Patents

Isolation structures for imposing stress patterns Download PDF

Info

Publication number
TWI230433B
TWI230433B TW092129908A TW92129908A TWI230433B TW I230433 B TWI230433 B TW I230433B TW 092129908 A TW092129908 A TW 092129908A TW 92129908 A TW92129908 A TW 92129908A TW I230433 B TWI230433 B TW I230433B
Authority
TW
Taiwan
Prior art keywords
elements
isolation
type
item
effect transistor
Prior art date
Application number
TW092129908A
Other languages
English (en)
Other versions
TW200415746A (en
Inventor
Dureseti Chidambarrao
Omer H Dokumaci
Bruce B Doris
Jack A Mandelman
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW200415746A publication Critical patent/TW200415746A/zh
Application granted granted Critical
Publication of TWI230433B publication Critical patent/TWI230433B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Element Separation (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

1230433 五、發明說明(1) " ---- 申請相關參考 美國專利申請第^__號名稱為” Stress
Inducing Spacers”,係讓渡給相同之受讓人,於某方面其 包含與本申請相關的内容。上述專利申請於此係做為參… 考。 多 【發明所屬之技術領域】 本發明係關於半導體元件創新的製造方法,用以增進 元件的性能,以及關於所形成之獨特而具有高性能的=件 構造。特別是,本發明在元件製程中,藉由改變元件基板_ 結構之拉張力與壓縮力,以增進場效電晶體(FET)元件之電 荷遷移速率。 在半導體元件設計的領域之中,習知調控元件基板内 的機械應力,可改變元件的性能。各別的應力張量分量 (stress tensor component )會對P 型場效電晶體(pfet)以 及N型場效電晶體(NFET)的元件行為造成不同的影響。習知 利用應力以提升性能的技術,傾向著眼於一種或其他種與 貫際性能表現環境無關的元件,例如一 I C晶片。為了使在· I C晶片中的P型場效電晶體以及N型場效電晶體之性能最大 化,應力分量需要被不相同地但同時地設計與應用。本發 明展現了製程方法以及所產生之結構,其利用了適當的應 力場,可增進單一元件以及位於同一基板上之至少二元件 的性能。
1230433 五、發明說明(2) 【先前技術】
Hameda 等人,在 IEEE Transactions on Electron Devices, ν〇1·38 No. 4(1991,四月),標題為「A New Aspect of Mechanical Stress Effects in Scaled MOS Devices」的文獻中,發表了關於重力引起橫方向與縱方向 之壓縮應力與拉伸應力,並具有跨導偏差 (Transconductance deviation)的數據資料。在一 P 型場效 電晶體(PFET)中,縱向應用單軸壓縮應力將與在一N型場& 電晶體(NFET)中所得到效果相反。如此的實驗數據可被如響 此解釋:假若應用平面雙轴拉伸應力(in-plane biaxial tensile stress),N型場效電晶體(NFET)之性能將會是應 用單軸拉伸應力的兩倍,而P型場效電晶體(PFET)之性能則 會因為橫向與縱向的拉伸應力相互抵消而沒有改變。 在2001 年VLSI Technology Digest of Technical
Papers研討會上,iiiin等人顯示了利用具有平面雙軸拉伸應 力的應變矽(strained Si),可使N型場效電晶體(NFET)的 電子遷移率提升百分之七十。習知技術中,應用機械應力_ 以增進元件性能的方法尚無法同時使p型場效電晶體(PFET) 以及N型場效電晶體(NFET)之性能提升。再者,習知技術中 從未提及任何具體可行的元件結構或製程方法。 【發明内容】
4IBM0383TW.ptd 第8頁 1230433
(二維的、月揭示了數種方法與結構,係關於應用平面雙軸 面一壓縮縱應力於n型場效電晶體(nfet),並同時應用平 卜卜、 σ μ力及拉伸橫向應力於P型場效電晶體(P F E T )。 本發明關於各別元件製造之方法與結構也是獨特 丘,^發明之方法與結構的優點在於該等方法與結構提 供愛目=於單軸應力兩倍之元件性能改善。本發明之另一 二j係提供了同時在同一基板上之p型場效電晶體以及N型 電晶體的製造方法,其中每一元件係經由設計,以利 Z產生應力的隔離材料來增加性能表現。其他優點為經 μ力產生之溝渠隔離結構,可提供具強化性能的個別元_ 件之結構及製造方法。 本發明之一目的在於提供Ν型場效電晶體、ρ型場效電 晶體,以及Ν型場效電晶體及Ρ型場效電晶體兩者同時之元 件性肖b的提升。本發明之另一目的在於使本發明可經濟地 輕易整合於現行之製程,僅藉由增加一單一光罩程序,而 顯著的提升元件性能。本發明之另一目的在於在整體矽 (bulk silicon)、絕緣層覆矽(s〇I)以及應變矽(straine(i silicon)等結構,可製作應用本發明。 · 本發明包含有P型場效電晶體以及N型場效電晶體的溝 渠隔離結構(trench isolation structure) 型場效電晶 體的一隔離區中具有一第一型隔離材料,其係對於N型場效 電晶體之縱向以及橫向皆施予一第一機械應力。ρ型場效電
4IBM0383TW.ptd 第9頁 1230433 五、發明說明(4) 曰曰體的 弟 隔離區則在P型場效電晶體的橫向施以機械應 2。P型場效電晶體的一第二隔離區,其係對於p型場效電 晶體之縱向施予另一型機械應力。該等隔離區根據所需之 機械應力’可包含相似或不同的隔離材料。一般而言,該 等機械應力為拉伸應力或壓縮應力。 以另 一 型場效電晶 體元件之側 一隔離區則 溝渠隔離區 场效電晶體 件橫向施予 於該等隔離 縮型機械應 區之中。於 中,其係氧 到膨脹,而 力。因此, component) 方面而言,本發明包含有p型場效電晶體以及N 體元件的製作方法。此觀點涉及在N型場效電晶 邊(sides)以及末端(ends)的隔離區之形成 形成於P型場效電晶體元件之側邊。另一(第一 形成於P型場效電晶體元件之末端。可對於N型 凡件橫向與縱向兩方向,以及p型場效電晶體元 一第一型機械應力的隔離材料,將被選來使用 區之中。可對於P型場效電晶體之縱向施予一壓 力的隔離材料,將被選來使用於第三溝渠隔離 另種產生應力向$(stress vector)的方法 化第二溝渠隔離區的至少部分隔離材料,以達 對於P型場效電晶體之縱向施予一壓縮機械應 視,否藉由氧化以產生應力分量(stress ’每一元件可選擇相同或不同之隔離材料。 以另一方面而言,本發明 極區以及源極區。基板在每一 通道區。一閘極區鄰接於通道 包含在一基板上所形成之汲 沒極區與源極區之間具有一 區以控制通道區之導電性。
4IBM0383TW.ptd
1230433
用以產生應力之隔離材料鄰接於汲極區與源極區所選擇之 侧邊,以將應力至少分派予在基板的汲極區以及源極區 伸應力或壓縮應力)外之通道區。 關於本發明之優點與精神可以藉由以下的發明詳、求 所附圖式得到進一步的瞭解。 【實施方式】 本發明最佳具體實施例 本發明係關於使用本質上應力產生材料以填塞隔離修 區,特別是淺溝渠隔離(shaii〇w trench is〇iati()n, ST I ):以將所選擇的橫向以及縱向應力分量分配至基板之 主動元件區。舉例而言,使用一本質為拉伸的應力產生材 料即可在基板中產生一拉伸狀態之應力,而使用一本質為 壓細的應力產生材料即可在基板中產生壓縮。為了將不同 的應力分配至各元件上,本發明採用可提供不同本質應力 的沈積薄膜。舉例而言,四乙基正石夕酸鹽(T E Q S, tetraethy lorthosi 1 icate)習知為拉伸性,因其在退火過 程之中會密實化因而產生收縮,藉此施予鄰接之基板一拉_ 伸應力。尚密度電漿氧化物(HDP oxide)習知為本質壓縮。 本發明在此處的重點係為將兩種不同本質的應力產生結構 整合鄰接於P型場效電晶體(PFET)以及N型場效電晶體 (NFET)的結構,或者個別地,使一或兩種應力產生結構鄰 接於該些元件的其中之一。關於具有拉伸本質應力的TE〇s
4IBM0383TW.ptd 第11頁 1230433
以及具有 驟’係繪示於圖三至圖七且萄 度電漿(HDP)的整合製程 述於後續之詳細說明。
本 程,可 量的襯 程在淺 (0 2 )滲 作用。 用會造 制性地 質拉伸 及縱向 該等方 發明之方法包令τ ^ 使氮切(SiN)作為—Ν :::的淺溝渠隔離(STI)製作流 層,而僅作為P型^丈^效電晶體橫向以及縱向分 溝渠隔離填塞物中/入电晶體橫向分量的概層。此製 入,而氛化石夕j 了丽,因為麵可使氧 因此,僅P型場效曰電曰防止在矽/TE0S邊界產生氧化 成Si/TE0S邊界^^阳體的縱向分量會被氧化,此% 增加P型場效電曰、二:化的量以及時間可被用來, 之薄膜,而氮化曰曰石夕襯/的壓縮應力。由於丽係本 分量及P型場效電晶體曰之用於效電象 向上會提供-拉伸應力k向…所以丽在上述 結構
標準的淺溝渠隔離製程 (氮化概層為選擇性使用)以 之用。在本發明之中,不同 圍場效電晶體元件的基板區 控制分派至鄰接之場效電晶 通常利用單一種類的氧化薄膜 及一隔離填充材料以作為隔離 種類的氧化薄膜被選用置於包 域中。藉著控制製程條件,可 體元件的應力型式。 P型%效電晶體以及N4 θ 量或圖宰,以;^ Λ i琢效電日日體萵要不同的應力向 里4 131木 Λ曰加電荷遷移率〇 P雜奸+3L日I +人 秒午離材枓則適合用來微調
4IBM0383TW.ptd 第12頁 1230433
以產生元件所需之應力圖案 波功率(RF power),某些材 -周。TE0S不易藉由製程控制 於鬆散,然而當退火密實時 種型式的本質應力——拉伸 好調控,其不需密實程序($ 力0 。藉由製程控制,例如無線電 料則可用來進一步地進行微 進行微調,因為其材料結構過 會產生收縮,因此可用來提供 應力。南密度電漿則較TE〇s較 ^處理)且一般提供本質壓縮應 % 向 力 圖一係為本發明較佳實施例示意圖。自N型場效電晶 外延伸之箭號表示在元件縱向以及橫向產生之拉伸應 。如以下將進一步描述,TE0S可用於本發明方法,以提 供N型場效電晶體之該些應力。TE0S亦可應用於鄰接或相鄰 的P型場效電晶體的橫向側邊,以對p型場效電晶體產生橫 向拉伸向量。高密度電漿則鄰接於P型場效電晶體縱向側/ 邊’以對P型场效電晶體產生縱向壓縮向量。 在另一實施例中,最終結構包含於縱向及橫向兩方向 部分均具有氮化石夕(S i N )襯層之N型場效電晶體、以及於橫 向部分具有氮化矽襯層且於縱向部分具有氧化的矽但無氮_ 化矽襯層之P型場效電晶體。數個製作流程可產生此最終結 構0 與元件區域相關之高密度電漿以及TE0S應力產生區域 的位置亦繪示於圖六。如圖六所示,TE0S包圍著N型場效電
4IBM0383TW.ptd 第13頁 1230433
五、發明說明(9) 高密度電漿與TE0S 兩種較佳之溝渠隔離材料—高密度電 =斤:同。高密度電聚與丽的沈積過程:了 ^ 之雷將it沈積係使用化學氣相沈積法(CM)。高密度電漿 電漿ϋ =為—方向性製程’其中因為在電漿中高密度 产電將、六:Ϊ粒子所組成’因此材料係由電場加速。高密 ϋ = Γ具有本f壓縮應力’且密實(densify)視為 但;份,在沈積後則需要密實退火程序,· 予,:Ξ i ί應力可藉由應力產生材料的收縮/膨脹而施 =應力產生材料生長於鄰接基板時可本質地施 電/具有内建的本f應力(buiit—in —ic =:::二熱時產生收縮。以下產生應力的基本機制 論上的細節。 因此’不再進一步描述這些機構的理 製程 讀 離,包圍場效電晶體元件的隔 罩係用以在基板内形成的利;;二; 方法,將_與高密度電漿丄合
4IBM0383TW.ptd 第15頁

Claims (1)

1230433 六、申請專利範圍 1 · 一種作為形成於一基板之複數個元件的隔離結構,該複 數個元件中之每一個具有一縱向及一橫向,該結構包含·· 一第一隔離區,用於該複數個元件之一第一元件,該 第一隔離區於其中具有一第一隔離材料,其應用一第一型 機械應力於該複數個元件之該第一元件之該縱向且及該橫 向0 2 ·如申請專利範圍第1項所述之隔離結構,進一步包含: 一第二隔離區,用於該複數個元件之一第二元件,該 第二隔離區於其中具有該第一隔離材料,其應用該第一型
機械應力於該複數個元件之該第二元件之該橫向;以及 一第三隔離區,用於該複數個元件之該第二元件,該 第三隔離區於其中具有一第二隔離材料,其應用一第二型 機械應力於該複數個元件之該第二元件之該縱向。 3 ·如申請專利範圍第2項所述之隔離結構,其中該複數個元 件之該第一元件係為一N型場效電晶體(NFET),且該複數個 元件之該第二元件係為一p型場效電晶體(pFET)。 4·如申請專利範圍第3項所述之隔離結構,其中該第一隔^ 材料係為四乙基正矽酸鹽(TE〇s),且該第二隔離材 高密度電漿(HDP)。 句 5 · —種於一基板形成複數個元件的方法,該複數個元件之
1230433 縱向,以及末端(ends)延 ~、申請專利範圍 每一個具有側邊(s i d e S )延, 伸於一橫向,該方法包含: 在該複數個元件之一第一元件之該側邊,形成一第一 隔離區; 在該禝數個兀件之該第一元件之該末端,形成一第二 隔離區;以及 提供一第一隔離材料於該第一隔離區中,以應用一第 二型機械應力於該複數個元件之該第一元件之該橫向;以 及 提供一第二隔離材料於該第二隔離中, I 二型機械應力於該複數個元件之該第一元:之該縱向。 6·如申請專利範圍第5項所述之方法, 在該複數個元件之一楚—-从 ^ 形成一第三隔離區;以及…件之該側邊以及該末端, 之該縱向且及 一型‘二二^於3離材料於該第三隔離區中,以應用該第 該ί: 該複數個元件之該第二元 7該圍Λ:項所述之方法,其中該複數個元件之· 之該第二元件係為—晶體(PFET),且該複數個元件 N型~效電晶體(NFET)。 項所述之方法,其中該第一隔離材 8 ·如申請專利範圍第7 料 _ 4IBM0383TW.ptd 第26頁 1230433
係為四乙基正矽酸鹽(TE〇s), 度電漿(HDP)。 此該第二隔離材料係為高密
9 · 一種於一基板形成複數個元 每一個具有側邊延伸於一縱向 該方法包含: 在該複數個元件之一第 形成一第一隔離區; 提供一第一隔離材料於該 一型機械應力於該複數個元件 及 件的方法,該複數個元件之 ’以及末端延伸於一橫向, 711件之該側邊以及該末端 第—隔離區中,以應用一第 之該第一元件之該橫向;以 氧化在該複數個元件之該第一元件之該末端之該第一 隔離材料的至少一部份,以致使該第一隔離材料應用一第 二型機械應力於該複數個元件之該第一元件之該縱向。 1 0 ·如申請專利範圍第9項所述之方法,進一步包含: 在該複數個元件之一第二元件之該侧邊以及該末端’ 形成一第二隔離區;以及 提供該第一隔離材料於該第二隔離區中,以應用該第 一型機械應力於該複數個元件之該第二元件之該縱向區且 及該横向。 11 l ^ ^ ^ 1之方法,其中該形成第一隔 1 1 ·如申清專利範圍第1 0項所述之刀 離區之步驟包含:
4IBM0383TW.ptd
1230433 六、申請專利範圍 沈積一氧化阻擋層(〇xidati〇n blocking layer)於該 複數個元件之該第一元件以及該第二元件之上;以及 僅(ο η 1 y)移除超出該複數個元件之該第一元件之該末 端之該氧化阻擋層。 1 2 ·如申請專利範圍第1 0項所述之方法,其中該氧化該第一 隔離材料的至少一部份的步驟包含: 同時氧化該複數個元件之該第一元件之該末端的至少 一部份。 • 1 3 ·如申請專利範圍第丨〇項所述之方法,其中該複數個元件 之該第一元件係為一P型場效電晶體(PFET),且該複數個元 件之該第二元件係為一N型場效電晶體(NFET)。 1 4 · 一種作為形成於一基板之複數個元件的隔離結構,該複 數個元件之每一個具有側邊延伸於一縱向及末端延伸於一 橫向,該結構包含: 一第一隔離區,鄰接該複數個元件之一第一元件之至 少一側邊以及至少一末端,該第一隔離區於其中具有一第鲁 一隔離材料,該第一隔離材料鄰接該複數個元件之該第一 元件之該至少側邊,以應用一第一型機械應力於該複數個 元件之該第一元件之該橫向;以及 该第一隔離材料的一氧化部分(oxidized portion)鄰 接該複數個元件之該第一元件之該至少一末端,以應用一
第28頁 1230433 六、申請專利範圍 第二型機械應力於該複數個元件之該弟一元件之該縱向。 1 5 ·如申請專利範圍第1 4項所述之隔離結構,進一步包含: 一第二隔離區,用於該複數個元件之一第二元件,該 第二隔離區於其中具有該第〆隔離材料,其應用該第一型 機械應力於該複數個元件之該第二元件之該縱向且及該橫 向。 1 6 ·如申請專利範圍第1 5項所述之隔離結構,其中該複數1 元件之該第一元件係為一p梨場效電晶體(PFET),且該複 個元件之該第二元件係為〆N蜇場效電晶體(NFET)。
TW092129908A 2002-12-12 2003-10-28 Isolation structures for imposing stress patterns TWI230433B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/318,600 US6974981B2 (en) 2002-12-12 2002-12-12 Isolation structures for imposing stress patterns

Publications (2)

Publication Number Publication Date
TW200415746A TW200415746A (en) 2004-08-16
TWI230433B true TWI230433B (en) 2005-04-01

Family

ID=32506402

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092129908A TWI230433B (en) 2002-12-12 2003-10-28 Isolation structures for imposing stress patterns

Country Status (3)

Country Link
US (2) US6974981B2 (zh)
CN (1) CN1270370C (zh)
TW (1) TWI230433B (zh)

Families Citing this family (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6995053B2 (en) * 2004-04-23 2006-02-07 Sharp Laboratories Of America, Inc. Vertical thin film transistor
US6924543B2 (en) * 2003-06-16 2005-08-02 Intel Corporation Method for making a semiconductor device having increased carrier mobility
US7410846B2 (en) * 2003-09-09 2008-08-12 International Business Machines Corporation Method for reduced N+ diffusion in strained Si on SiGe substrate
US6887751B2 (en) 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US7144767B2 (en) 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation
US6872641B1 (en) 2003-09-23 2005-03-29 International Business Machines Corporation Strained silicon on relaxed sige film with uniform misfit dislocation density
US7119403B2 (en) * 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US7303949B2 (en) 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7037770B2 (en) 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US8008724B2 (en) * 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US7129126B2 (en) 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7015082B2 (en) 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7029964B2 (en) 2003-11-13 2006-04-18 International Business Machines Corporation Method of manufacturing a strained silicon on a SiGe on SOI substrate
US7122849B2 (en) 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7198995B2 (en) 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US7247912B2 (en) 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7202132B2 (en) * 2004-01-16 2007-04-10 International Business Machines Corporation Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs
US7118999B2 (en) 2004-01-16 2006-10-10 International Business Machines Corporation Method and apparatus to increase strain effect in a transistor channel
US7381609B2 (en) 2004-01-16 2008-06-03 International Business Machines Corporation Method and structure for controlling stress in a transistor channel
US7205206B2 (en) 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7223994B2 (en) * 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
JP4994581B2 (ja) * 2004-06-29 2012-08-08 富士通セミコンダクター株式会社 半導体装置
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US8669145B2 (en) * 2004-06-30 2014-03-11 International Business Machines Corporation Method and structure for strained FinFET devices
US7384829B2 (en) 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
KR100541656B1 (ko) * 2004-08-03 2006-01-11 삼성전자주식회사 성능이 향상된 cmos 소자 및 그 제조 방법
US7268399B2 (en) * 2004-08-31 2007-09-11 Texas Instruments Incorporated Enhanced PMOS via transverse stress
US7078722B2 (en) * 2004-09-20 2006-07-18 International Business Machines Corporation NFET and PFET devices and methods of fabricating same
US7348635B2 (en) * 2004-12-10 2008-03-25 International Business Machines Corporation Device having enhanced stress state and related methods
US7173312B2 (en) * 2004-12-15 2007-02-06 International Business Machines Corporation Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
US7274084B2 (en) * 2005-01-12 2007-09-25 International Business Machines Corporation Enhanced PFET using shear stress
US7256081B2 (en) 2005-02-01 2007-08-14 International Business Machines Corporation Structure and method to induce strain in a semiconductor device channel with stressed film under the gate
US7224033B2 (en) 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
JP4515951B2 (ja) * 2005-03-31 2010-08-04 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US7545004B2 (en) 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US20060228867A1 (en) * 2005-04-12 2006-10-12 Taxas Instruments Incorporated Isolation region formation that controllably induces stress in active regions
US7358551B2 (en) * 2005-07-21 2008-04-15 International Business Machines Corporation Structure and method for improved stress and yield in pFETs with embedded SiGe source/drain regions
TW200713455A (en) * 2005-09-20 2007-04-01 Applied Materials Inc Method to form a device on a SOI substrate
US7221024B1 (en) * 2005-10-27 2007-05-22 International Business Machines Corporation Transistor having dielectric stressor elements for applying in-plane shear stress
US7759739B2 (en) * 2005-10-27 2010-07-20 International Business Machines Corporation Transistor with dielectric stressor elements
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US7785950B2 (en) * 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure
US7348638B2 (en) 2005-11-14 2008-03-25 International Business Machines Corporation Rotational shear stress for charge carrier mobility modification
US7476938B2 (en) * 2005-11-21 2009-01-13 International Business Machines Corporation Transistor having dielectric stressor elements at different depths from a semiconductor surface for applying shear stress
US7564081B2 (en) 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7659581B2 (en) * 2005-11-30 2010-02-09 International Business Machines Corporation Transistor with dielectric stressor element fully underlying the active semiconductor region
US7678662B2 (en) * 2005-12-13 2010-03-16 Applied Materials, Inc. Memory cell having stressed layers
US7635620B2 (en) 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US20070158743A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
CN101009327B (zh) * 2006-01-23 2010-05-12 旺宏电子股份有限公司 半导体元件及其制造方法
US7544584B2 (en) 2006-02-16 2009-06-09 Micron Technology, Inc. Localized compressive strained semiconductor
US7691698B2 (en) 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US7521307B2 (en) * 2006-04-28 2009-04-21 International Business Machines Corporation CMOS structures and methods using self-aligned dual stressed layers
US7615418B2 (en) * 2006-04-28 2009-11-10 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7608489B2 (en) * 2006-04-28 2009-10-27 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US8853746B2 (en) 2006-06-29 2014-10-07 International Business Machines Corporation CMOS devices with stressed channel regions, and methods for fabricating the same
US7462916B2 (en) * 2006-07-19 2008-12-09 International Business Machines Corporation Semiconductor devices having torsional stresses
US7485544B2 (en) * 2006-08-02 2009-02-03 Micron Technology, Inc. Strained semiconductor, devices and systems and methods of formation
US7968960B2 (en) * 2006-08-18 2011-06-28 Micron Technology, Inc. Methods of forming strained semiconductor channels
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
US8754446B2 (en) * 2006-08-30 2014-06-17 International Business Machines Corporation Semiconductor structure having undercut-gate-oxide gate stack enclosed by protective barrier material
WO2008042144A2 (en) * 2006-09-29 2008-04-10 Advanced Micro Devices, Inc. A semiconductor device comprising isolation trenches inducing different types of strain
DE102006046377A1 (de) * 2006-09-29 2008-04-03 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit Isoliergräben, die unterschiedliche Arten an Verformung hervorrufen
US20080142897A1 (en) * 2006-12-19 2008-06-19 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system having strained transistor
US7521763B2 (en) * 2007-01-03 2009-04-21 International Business Machines Corporation Dual stress STI
US7494886B2 (en) * 2007-01-12 2009-02-24 International Business Machines Corporation Uniaxial strain relaxation of biaxial-strained thin films using ion implantation
US20080173950A1 (en) * 2007-01-18 2008-07-24 International Business Machines Corporation Structure and Method of Fabricating Electrical Structure Having Improved Charge Mobility
US7935588B2 (en) * 2007-03-06 2011-05-03 International Business Machines Corporation Enhanced transistor performance by non-conformal stressed layers
US7678665B2 (en) * 2007-03-07 2010-03-16 Freescale Semiconductor, Inc. Deep STI trench and SOI undercut enabling STI oxide stressor
JP2008262954A (ja) * 2007-04-10 2008-10-30 Toshiba Corp 半導体装置
US8236638B2 (en) 2007-04-18 2012-08-07 Freescale Semiconductor, Inc. Shallow trench isolation for SOI structures combining sidewall spacer and bottom liner
US7547641B2 (en) * 2007-06-05 2009-06-16 International Business Machines Corporation Super hybrid SOI CMOS devices
US7615435B2 (en) * 2007-07-31 2009-11-10 International Business Machines Corporation Semiconductor device and method of manufacture
US8877576B2 (en) 2007-08-23 2014-11-04 Infineon Technologies Ag Integrated circuit including a first channel and a second channel
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US20090101980A1 (en) * 2007-10-19 2009-04-23 International Business Machines Corporation Method of fabricating a gate structure and the structure thereof
CN101419942B (zh) * 2007-10-24 2010-05-19 中芯国际集成电路制造(上海)有限公司 一种可提高半导体器件性能的沟槽隔离结构制作方法
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
US9368410B2 (en) * 2008-02-19 2016-06-14 Globalfoundries Inc. Semiconductor devices having tensile and/or compressive stress and methods of manufacturing
US20100019322A1 (en) * 2008-07-23 2010-01-28 International Business Machines Corporation Semiconductor device and method of manufacturing
US20100096695A1 (en) * 2008-10-16 2010-04-22 Chartered Semiconductor Manufacturing, Ltd. High stress film
JP2010123633A (ja) * 2008-11-17 2010-06-03 Toshiba Corp 半導体装置
US8354719B2 (en) * 2010-02-18 2013-01-15 GlobalFoundries, Inc. Finned semiconductor device with oxygen diffusion barrier regions, and related fabrication methods
US8598006B2 (en) * 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
CN102569086B (zh) * 2010-12-29 2014-10-29 中国科学院微电子研究所 半导体器件及其形成方法
US8772127B2 (en) 2010-12-29 2014-07-08 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and method for manufacturing the same
US8448124B2 (en) 2011-09-20 2013-05-21 International Business Machines Corporation Post timing layout modification for performance
CN103050430B (zh) * 2011-10-14 2015-11-25 中国科学院微电子研究所 半导体器件及其制造方法
CN103367227B (zh) * 2012-03-29 2015-09-23 中国科学院微电子研究所 半导体器件制造方法
US8673723B1 (en) 2013-02-07 2014-03-18 Globalfoundries Inc. Methods of forming isolation regions for FinFET semiconductor devices
US9153668B2 (en) 2013-05-23 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning tensile strain on FinFET
US9349798B1 (en) 2015-06-29 2016-05-24 International Business Machines Corporation CMOS structures with selective tensile strained NFET fins and relaxed PFET fins
US10325006B2 (en) 2015-09-29 2019-06-18 International Business Machines Corporation Scalable architecture for analog matrix operations with resistive devices
US10387778B2 (en) 2015-09-29 2019-08-20 International Business Machines Corporation Scalable architecture for implementing maximization algorithms with resistive devices
FR3046876B1 (fr) * 2016-01-19 2018-12-14 Commissariat A L'energie Atomique Et Aux Energies Alternatives Consommation du canal d'un transistor par oxydation sacrificielle
US9755073B1 (en) 2016-05-11 2017-09-05 International Business Machines Corporation Fabrication of vertical field effect transistor structure with strained channels
US10068807B2 (en) 2017-01-16 2018-09-04 International Business Machines Corporation Uniform shallow trench isolation
US10600695B2 (en) * 2018-05-22 2020-03-24 International Business Machines Corporation Channel strain formation in vertical transport FETS with dummy stressor materials
JP7042726B2 (ja) * 2018-10-04 2022-03-28 ルネサスエレクトロニクス株式会社 半導体装置の製造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6075262A (en) * 1995-09-21 2000-06-13 Fujitsu Limited Semiconductor device having T-shaped gate electrode
US6114741A (en) * 1996-12-13 2000-09-05 Texas Instruments Incorporated Trench isolation of a CMOS structure
US6010935A (en) * 1997-08-21 2000-01-04 Micron Technology, Inc. Self aligned contacts
US6593617B1 (en) * 1998-02-19 2003-07-15 International Business Machines Corporation Field effect transistors with vertical gate side walls and method for making such transistors
US6074903A (en) * 1998-06-16 2000-06-13 Siemens Aktiengesellschaft Method for forming electrical isolation for semiconductor devices
US6258695B1 (en) * 1999-02-04 2001-07-10 International Business Machines Corporation Dislocation suppression by carbon incorporation
US6461936B1 (en) * 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench

Also Published As

Publication number Publication date
US6974981B2 (en) 2005-12-13
US20050280051A1 (en) 2005-12-22
CN1270370C (zh) 2006-08-16
TW200415746A (en) 2004-08-16
US20040113174A1 (en) 2004-06-17
CN1507032A (zh) 2004-06-23

Similar Documents

Publication Publication Date Title
TWI230433B (en) Isolation structures for imposing stress patterns
TWI352433B (en) Stressed field effect transistors on hybrid orient
US6882025B2 (en) Strained-channel transistor and methods of manufacture
US7528056B2 (en) Low-cost strained SOI substrate for high-performance CMOS technology
TW536726B (en) Semiconductor device and method for manufacturing the same
JP4678877B2 (ja) Si:C−OIおよびSGOI上のシリコン・デバイスならびに製造方法
US7791144B2 (en) High performance stress-enhance MOSFET and method of manufacture
TWI227058B (en) Semiconductor device and method of fabricating the same
US7888214B2 (en) Selective stress relaxation of contact etch stop layer through layout design
US8368170B2 (en) Reducing device performance drift caused by large spacings between active regions
CN106716621B (zh) 一种半导体结构及其形成方法
US20070202639A1 (en) Dual stressed soi substrates
US20060244074A1 (en) Hybrid-strained sidewall spacer for CMOS process
CN101320713A (zh) 半导体结构及其方法
TW200814234A (en) Method for forming a strained transistor by stress memorization based on a stressed implantation mask
US7833874B2 (en) Technique for forming an isolation trench as a stress source for strain engineering
US20060131657A1 (en) Semiconductor integrated circuit device and method for the same
US20110080772A1 (en) Body Controlled Double Channel Transistor and Circuits Comprising the Same
US20140167186A1 (en) Semiconductor device structures including strained transistor channels
CN101326631B (zh) 互补金属氧化物半导体
TW200908158A (en) Channel strain engineering in field-effect-transistor
US20090315115A1 (en) Implantation for shallow trench isolation (STI) formation and for stress for transistor performance enhancement
KR20080040551A (ko) 전계 효과 트랜지스터의 성능 향상을 위한 컨포말하지 않은스트레스 라이너
TWI355075B (en) Enhanced pfet using shear stress
US11810789B2 (en) Method of fabricating a semiconductor substrate having a stressed semiconductor region

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees