TW550645B - Configurable plasma volume etch chamber - Google Patents

Configurable plasma volume etch chamber Download PDF

Info

Publication number
TW550645B
TW550645B TW091113718A TW91113718A TW550645B TW 550645 B TW550645 B TW 550645B TW 091113718 A TW091113718 A TW 091113718A TW 91113718 A TW91113718 A TW 91113718A TW 550645 B TW550645 B TW 550645B
Authority
TW
Taiwan
Prior art keywords
plasma
scope
item
patent application
chamber
Prior art date
Application number
TW091113718A
Other languages
English (en)
Inventor
Bi-Ming Yen
Tuqiang Ni
Lumin Li
David Hemker
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW550645B publication Critical patent/TW550645B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Medicines Containing Plant Substances (AREA)

Description

550645 五、發明說明(l) 【發明背景】 1 ·發明之領域 本發明係關於一種半導體製造以及,尤有關使用複數 限制結構以控制電漿容積的電漿蝕刻室。 2.相關技術之說明 在半導體的 介電材料。電漿蝕刻室 對所選擇定義的層別作 成可接收製程氣體,以 置於一個或多個電極上 望的製程而控制。在配 個電極上時,於腔室中 楽:°該電漿被配置用以 層別,作期望的蝕刻。 為了要執行對於半 主的餘刻,典型的是對 ,速率,及其他類似的 ,中達到期望的電漿參 氣體的化學性質、該腔 7個或多個射頻RF電極 術ΐ在一單一腔室之内 一單一製程室中並不具 ,對於特定蝕刻用途的 複數的不同配置之製程 是藉由配置在基板上的光阻遮罩, 14刻。一般而言,該製程室被配置 及在該製程室中,射頻RF功率被設 。該腔室中的壓力是依據一特殊期 置該期望的射頻RF功率於一個或多 的該製程氣體被活化,藉此產生電 執行對於半導體晶圓上特定選擇的 導體晶圓上特 該電漿在例如 參數上作配置 數變化,可更 室中的壓力, 上的射頻RF功 並不設置有可 有變化電漿容 最佳化電聚特 室。該複數的 定選擇的 壓力、電 。為了在 改的範例 以及於腔 率。然而 變化的電 積的能力 性,通常 製程室需 層別,作期 子禮、度、流 單一製程環 參數包括該 室中配置在 ’於習知技 漿谷積。在 ’為了要達 需要使用到 要共處於餘
第6頁 550645 五、發明說明(2) 刻室的製程系統中,或能被安置及操作在一鄰近於其他製 程室,以確保在蝕刻製程中不同階段的製程室之間,鎚$ 的與有效率的晶圓傳遞。 \ 雙金屬鑲嵌製程包括一般的多階段蝕刻製程,其顯禾 為達最佳製造條件所需的製程環境範圍。圖^為流程圖$ ,顯示藉第一雙金屬鑲嵌製程之蝕刻製程的一種典型 的操作方法。該流程圖1 〇〇起始於在該基板已配置了將定 義出製造特徵之不同層別之後,以及該第一微影製程已執 行而定義該第一蝕刻操作。該第一蝕刻製程於操作丨〇 2中 執行’在其中孔結構被姓刻而形成。在一典型的使用钱刻 操作,至少兩介電層被餘刻而形成孔結構。圖1 B顯示一示 範的基板120,其上已配置了 一阻障層126&、第一介電層 122、一選擇的蝕刻中止層126b,及第二介電層124。一光 阻層128a具有一圖案以使孔130的蝕刻通過該第二介電層 124、蝕刻中止層126b,及該第一介電層122。在一個例子 中,該第一介電層122以及該第二介電層124的材料性質並 不相同,需要兩個分離的蝕刻操作,及使用兩種不同的蝕 刻氣體以執行孔1 3 0結構的製作。回到圖丨A, 一 製程m需要包括一個或多個餘刻操作以完整定:出= 構 130。 此方法接著操作104,其中殘留的光阻層128a(圖1B) 被移除。#已知的,微影製程在半導體製造中是用來定義 出樣貌特徵的。在本例首先定義出該孔的位置然後姓 刻出該孔結構。錢留的光阻在操作1〇4中移除以使下一
f 7頁 550645 五、發明說明(3) 個樣貌特徵可被定義蝕刻出來。 此方法接著操作1 0 6,在製程操作中的下一個特徵是圖 案化。經由此例,塗佈下一個光阻層,然後定義下一特徵 的形象即渠溝結構。使用微影製程,該渠溝是藉由已知的 特徵製程所定義出來的。
此方法進一步使用操作1 〇 8,於其中執行第二蝕刻製 程。在本例中該第二蝕刻製程是渠溝結構的蝕刻。圖丨c顯 示圖1B的示範結構,其中孔丨30是如之前敘述於操作1〇2中 所#刻的。該光阻128b被移除以定義出渠溝結構丨32,其 被蝕刻通過該第二介電層124並且到達蝕刻中止層126b。 再一次回到圖1A,該方法進一步到操作丨丨〇,其中殘 留的光阻劑1 28b(圖1 C)被移除。一旦該第二蝕刻製程完 成’該渠溝結構即被製造出來,該用來定義出渠溝結構的 殘留光阻劑被移除。 該方法接著是操作112,其中該氮化矽(siN)層被蝕刻 出^ ’即完成此方法。圖1D顯示在此雙金屬鑲嵌結構的製 作貫例中’藉由蝕刻製程以定義完成的特徵。在孔特徵 130中的該阻障層126a被蝕刻而暴露出該基板12〇。該蝕刻 中止層12 6b是位於該渠溝結構132中並介於該第一介電層 122及該第二介電層124之間,而且同樣被蝕刻。蝕刻中止 層126b以及该阻障層eh兩者是典型的氮化石夕層,依靠特 定的結構及製程所選擇的層別,在此雙金屬鑲嵌結構實例 中’移除此層的製程是最後蝕刻製程。如已知的,典型的 姓刻製程之後是接著阻障層或金屬化,用以製造該雙金屬
550645 五、發明說明(4) 鑲嵌結構的渠溝以及孔洞。 山如圖1A中的流程圖100所顯示,在一血型的餹A s 士 至少三個分一刻操作= 於ΐ容積f?漿,刻環境。典型的是,在-Si 達ΐ。在:二Ϊ已知的高偏壓已經在基板的表‘ ίΐ經ii: 在低壓力時,高的電襞流動速 有車又同的偏壓也是被期望的電漿特徵 :::中具 包含的環境,對於最有利 谷積所 境。 干J电氷楗供了最理想環 該光阻的移除製程最好在小容積氧 行。在小容積環境中,電漿維持電漿裱境之下執 況。-般而言電聚可達到非常高二,表面的狀 的光阻移除速率…卜,在小;二;亚,獲得非常高 的離子能量較低,葬t卜叮、八見到達晶圓表面 .^#低糟此可減低介電材料的濺鍍情形。一浐 。:-個低電裝容積所包容的環境是光阻 積環J第:製程可以是大電襞容積環境或是小電聚容 該餘刻中止層126b(圖1B、lc、1Dnfc。經由實例’ 該第-介電層122及第二介電層124;:=層別。又, 相異的介電材料, j以疋各種相似的或是 以及匕們特殊的材料特性,促使該姓刻 第9頁 550645 五、發明說明(5) 化學物質’颠刻該第二介質層〗24而下到該選擇的蝕刻中 f層126b或第一介電層122。若使用到蝕刻中止層126b, 般會使用小電漿容積所包含的環境來達成高蝕刻速率。 曰般而s ’依材料及結構,不是小容積就是大容積,可在 曰曰圓上^供最好的韻刻均勻度。 、 最後’該3i N蝕刻是典型的適合於小電漿容積環境以 達成高電漿密度,其對於晶圓可獲得高的蝕刻速率及低的 離子能量。朝向晶圓上的低離子能量可減低位於s i N層之 下的介電材料的濺鍍情形。 圖2A是蝕刻室140中,典型的小電漿封閉容積環境的 方塊圖。一晶圓146被安置於下部電極144上,以及一上部 電極被安置於該晶圓146之上並且在上部電極142與晶圓 146之間定義出一電漿封閉範圍145。在一位於蝕刻室14〇 中的 小電漿谷積封閉緣境的實施例中,複數的封閉環 1 4 8被配置於晶圓1 4 6的外邊緣及腔室1 4 〇的内壁之間,並 定義出該電漿封閉範圍145的側邊界。該封閉環148環繞在 該钱刻室1 40的圓柱狀結構周圍,並有期望的寬度及空間 以定義一電漿封閉區域14 5於其中,並允許該使用過的電 漿氣體向外流出以及由該蝕刻室14〇排氣。該封閉環148作 為一有溝槽的限制擔板,而每一個環均含有例如石夕土或石 英的介電質。對一個小電漿容積封閉腔的詳細敘述,參見 美國專利第553475 1號,發行於1 99 6年7月9日同一位代理 人的專利申請。 圖2B是一在蝕刻室140中典型的大電漿容積封閉環境
第10頁 550645 五、發明說明(6)
的方塊圖。一晶圓146被安置於一下部電極H4之上,及一 上部電極被配置於該晶圓146的上方並且定義出介於上部 電極142與該晶圓146之間的電漿封閉範圍145。在大電漿 容積封閉環境中,一電漿限制構造1 5 〇被安置於離該晶·圓 1 4 6足夠遠的距離’用以對電漿流提供一較大的容積。該 電襞限制構造1 5 0可由物理性且具多孔隙的材料構成,例 如石英或矽土,以容許該電漿的中性成分流出並從蝕刻室 1 4 0中排氣,以確保於先前排氣之後的離子能量充分消 散。該電漿限制構造1 50也可利用磁性能量產生磁性,藉 以噴出離子及電子、或電荷,通過該蝕刻室丨4 〇的限制構 造。對一個配置有大電漿容積封閉結構的蝕刻室的詳細敘 述,參見美國專利第6170429號,發行於2〇〇1年1月9日。 如上所述、,一示範性的多階段半導體製程的該電漿蝕 刻操作,需要複數電漿容積環境以使該需要的蝕刻製程最 佳化。一單一電漿蝕刻室可視需要配置成小電漿容積封閉 結構或是大電毁谷積封閉結構。該單一腔室應要具有構成 一蝕刻系統的能力,包含複數可配置腔室以增加效率及生 產量,同時減少停工期及操作成本。 【發明之概述】 大致來說,本發明藉提供一種可配置複數電漿容積應 用的電漿製程室以滿足各種需要。 、〜 依據本發明的其中一個實施樣態,設置一電漿製程 至。该電漿裝程至包括一下部電極,於製程中用來支撐基
550645 五、發明說明(7) ,勺=士一上部電極,位於下部電極上方。該電漿製程室 L 電漿限制元件,設計用來輸送關閉方向與開放 二第一容2方向時,該電漿限制元件於製程中定義出電漿 中定義“將”在開放方向時,該電漿限制元件於製程 士水的第二容積,而第二容積大於第一容積。 #置〈可^發明的另一個實施樣態,一電漿蝕刻製程室是 置又=以;電該電㈣刻製程室包括,Ϊ: 、、古通過命产 ^,其疋義出複數的分離平行通道以使氣體 二 σ μ衣。該可配置的電漿限制環一耔 極而配置,該羋> + 乂 — μ , ^ ^ # 對干仃電 產生於其中,::電極疋義出第-電漿限制區•,而電聚 聚限制環可配置子粒子中性化。該可配置的電 制區域,而在縮回的t: a=:糟以定義出該第-電漿限 該電漿蝕刻製程室進一牛:二疋義出第二電漿限制區域。 作為該電漿蝕刻部腔室襯墊’配置用以 … j衣私室的上部區域之襯墊,以;?勹Α 士 數孔隙的外部電漿限制結構。 I以及包含有複 叮晰:ί:一個實施樣態,-半導體晶圓的製程室*番 可配置的電漿容積。兮 刃表程至叹置一 電極,該下部電極半二 邛電極以及一下部 接收半導體晶®。哕:室進配置成用以在製程中 以及-下部】:限: = ΓΤ極,當作上邊界, 義出來,其具有f 1第—電漿限制區域被定 上。卩電極,當作上邊界,以及—下部電 第12頁 ^^0645 五、發明說明(8) 極,當作下嘉 、— 上部腔室概塾用作:5 : f部腔室觀塾,當作側邊界。 成外部電漿限制 上域的輾墊, 複數個勒。;個電漿限制環、複數個間隙:衆限 中,並且配牛被安置於半導體晶圓』:二及 時,定義出電漿限制元件被配置於延伸ί;數 義出苐二電漿限制區域。 在鈿回的位置時則定 本發明的優點眾多。 ?點:單-腔室,可配置成“個電槳的利益及 ;,為了達到本發明所提供之單=刻製程。典型的 以及取得與營運製造* 士糸、洗工具的尺寸與費用, 個經濟的與有效的選;:本j提j工具的複製並不是- 率及經濟效益最大化,並且-腔室用以將效 刻製程。 j配置成複數個精確的電漿蝕 將電。夠在-個單-系統或腔室中, 中間段的姓刻操作;程通㊁::㈡電漿钱刻製程中, 個特定製程的最佳化二:=的”成每-對於複數蝕刻製程為、 在一習知技術中, 擇:在一組配置;刻結果,由以下兩者選 定製程,紝人八籬的撒早工具,或是對於不同腔室之特 …口刀離的機器與系統以達成期望的配置。單一 第13頁 550645 五、發明說明(9) 2: = f ’典型的結果是得到較低最適化程度之製鞋 '…離的機器與系統,典型的結果是增加費用=,而 ^ Π t】白並增加污染的可能性。要操作及维“:: 修的角度看來,除了個別的設備成本之外4匕在 作、訓練、工廠樓面及配置之成本的增加。h未者操 本發明的另一個優點,是以 產量。本發明提供清潔製程以及沉積;加生 室中執行兩個操作,可拎長消杯σ沾二,'猎由在早一腔 襯墊,當範圍跨越過“:程:期,例如腔室 及其它種類m Λ ί 可減少濕式清洗以 m女 / /或維修操作所需的時間,並且 更有效率的利用生產裝備而增加其生產量。 且錯由 =^明的其他優點藉由以下的詳細敘 猎由結合附圖,圖示舉例說明本發明的原理”加月白 【較佳實施例之詳細說明】 一種關於蝕刻室的發明,揭露 ^裝容積。在以下的敘述中,數個特定的 ^去糟此提供對本發明貫通的瞭解。 ίί;:Γ:: 一些或全部的特定細節時,也可能 ίίί:明ίί他實例中’熟知的製程操作並不詳述,以 免對本發明產生不必要的混淆。 圖3Α顯示依據本發明實施例之鍅刻室14G的方塊圖, /、有可配置電漿容積限制區域145。該蝕刻室140,包括: 第14頁 550645 五、發明說明(ίο) 一上部電極142、一下部電極144,及一小電漿容 域^45,定義於該上部電極142與該下部電極144之間。制j 晶圓146置於該下部電極144之上’並執行蝕刻。 如圖3A所描繪的該蝕刻室14〇,顯示配置成一小 =f Λ域古。上漿限制環16 °定義出一小電聚容積限: 二蔣,八有一罪近晶圓1 46周圍的侧邊界。本發明的該 定義出一限制元件並且配置於如圖3α中的 错以疋義出一小電漿容積限制區域j 4 室r:該裝置縮回後打開該小電聚== : y成大谷積。在該小電漿容積限制區域145之中, 下H所配置’該電漿限制環160是環繞著該上部142與該 ::二電極周圍而配置’並藉由該電漿限制環16。及 該下部電極144的結合,定義出該小電浆 的材例中,該電浆限制環160是由如石英或石夕土 有空隙在盆ρ卩,且具有複數且層疊的電漿限制環1 60,並 道,使用^ 1 Ϊ ΐ間創造出明顯而平行的周圍狹縫或通 該小電的氣體由該小電漿容積“7排出至 著平行於排氣的流動方向而分開配置,通過 並進-步將任何殘留的離子粒子"生 出。俾此使貫際上只有中性的電^分經由該蚀刻室排 圖3b顯示姓刻室140的方塊圖,依據本發明的另一個 第15頁 550645 五、發明說明(π) 貫施例,其具有一大電漿容積限制區域145,,並為可配置 的。該蝕刻室140,包括:一上部電極142、一下部電極 144,及一大電漿容積限制區域145,,定義於該上部電極 142與該下部電極144之間。一晶圓146置於該下部電極144 之上:並執行蝕刻。如圖3β所示的該蝕刻室14〇以及如圖 3Α所不的该银刻室140,一般而言其結構是相同的。 於圖3Β所描述的該蝕刻室14〇是配置成大電漿容積限 制區域。如附圖所示,電漿限制環16〇3被撤開或縮回以 開該電漿容積限制區域,藉以定義出該大電漿容積限制區 域145’ 。該大電漿容積限制區域145’的侧邊界是該蝕刻 140,並以一外侧電漿限制結構162的内側表面定義出該 電t容積限制區域145’的外邊界,並允許電漿中的中性^ 分流過其中而排出。在本發明的一個實施例中,該 漿限制結構162是由石英或石夕土等材料所構成的物理結^電 構,並具有孔隙於其中’藉此允許由該大容積電聚⑷产 出之電漿中性成分通過排氣管及渦輪泵(沒有圖示出1 同時清除任何殘留的離子粒子。在另一個實施例令,’ 側電裝限制結構162是有磁性的,在由該蝕刻η = ίΪΐ過的氣體之前,藉此磁力的配置排出任何殘留的Ϊ 圖4顯示依據本發明之一個實施例的一可配置 積限制姓刻室200。該银刻室200,包括一上部 = 及配置有一半導體晶圓146於其上的—下部電極丨2 乂 刻室20。顯示一小電聚蝕刻容積限制區域, 該: 550645 五、發明說明(12) 制環160,藉此定義出該小電漿容積限制區域145的侧表 面。該上部電極142以及具有一半導體晶圓146安置於其上 的下部電極144,分別定義出該小電漿容積限制區域145的 上及下邊界。 該可配置電漿容積限制蝕刻室2〇〇,包括一外部電漿 限制結構162,當姓刻室200被配置成大電漿容積時°,該外 部電漿限制結構1 62就具有電漿限制結構〗62的功能。當蝕 刻室200被配置成小容積時,該外部電漿限制結構162仍缺 處於該位置上,以設置為一多餘的擋板,而當電漿的中性 成分經由該蝕刻室140通過渦輪泵202而排氣時,需通過生 中。 〃 在一實施例中,電漿限制環i 6 0被配置延伸於該上部 電極142的周圍與該下部電極146的周圍之間,俾能藉由=應 用射頻RF能量,將反應氣體離子化而創造出電漿,並將其 限f在該限制環160及該電極142、144所定義出的該小電、 漿容積限制區域145之間,且位於該晶圓146表面之上。該 電漿限制環160定義出複數圓形環16〇的具溝槽之限制擋板 結構。該圓形環1 6〇是由例如矽土及石英等介電質所構 成,而相鄰的環是由間隙壁17〇所分隔,並在該圓形環丨 ^,創,出周圍溝槽及通道,該電漿的中性成分經其中而 ,。藉由該周圍溝槽而形成的平行通道,在平行於 或氧體的流動方向上被分隔開來。 ’ ,間隙壁17〇同樣的是由矽土或石英等介電質,或是 石反化矽或摻矽等導電材料所構成,而且該溝槽或通道是 550645 五、發明說明(13) 配置用以使殘存在排出氣體中的離子粒子消失,該排出氣 體流過該限制環160以及蝕刻室2〇〇並藉由渦輪泵2〇2排 出。在一實施例中,該外部電漿限制結構丨62提供一個多 餘的擋板,並使由電漿通過而形成的排出氣體流至該渦輪 泵202。此外,由該蝕刻室2〇〇到達渦輪泵2〇2的排氣過程 建構了複數的擋板(沒有圖示出來),藉以避免在排出氣體 中任何的殘餘離子或高分子殘餘物質流進渦輪泵2〇2中。 在一實施例中,該電漿限制環16〇藉軸172聯結在一 起。該轴1 72可由重量輕、低微粒產生量的基板例如尼龍 而構成,並藉其配置以支撐該限制環16〇及間隙壁17〇。該 間隙壁被配置相嵌於軸1 7 2周圍並位於該限制環丨6 〇之間, 藉以創造出期望的空間,該空間介於限制環丨6〇之間並將 通過該溝槽或通道的電漿中的離子粒子或電子中性化,此 時的該電漿限制環1 60是延伸狀態以定義出小電漿容積限 制區域145。該電漿限制環160、該間隙壁17〇以及該軸172 一起形成一限制兀件173。在一實施例中,該限制元件丨 包括至少一個電漿限制環160。在另一實施例中,該限 元件173包括一個由六個電漿限制環16〇所堆疊起來 構。當該限制元件173縮回以形成一大電裝容積限制^域 145(視圖5),該轴172由該限制區域145移開、在相 1 60間摺疊該間隙壁170以及摺疊該電漿限制環16〇的疊、衣 構。 且 當該電浆限制環160縮回後,該大電漿容積就由延 至腔室襯墊164的邊界所構成,該腔室襯墊164是配置於該 550645 五、發明說明〇4) 餘刻室2 0 〇以及外邱堂 漿韻刻操作會產生0古八漿广制結構j62之上。如已知的,電 RF訊號的干擾及=沉積及導致粒子污染,例如高頻 容積限制紝Μ φ :""的波動。該尚分子沉積問題在小電漿 心;漿容積限制結構中的 一實丨ί =冋子沉積所影響,以及,在本發明的 當的言頻RF桩:t襯墊164、166合併以提供熱穩定性、適 室物接&返回路徑、最短的維修停工期。上部腔 ==64配置於該外部電漿限制結構162,以及下部腔室 部雷艰丨者f蝕刻室2〇0的下部區域之腔壁而配置,從外 氣。、漿限制結構162至㈣室200的底部到渦輪栗2〇2而排 圖5顯不依據本發明另一個實施例的可配置電漿容積 m圖5中的該#刻室2〇〇是顯示大電聚容積 又制的配置。電漿限制環16〇a由該蝕刻室2〇()上撤回或縮 ,,並創造出大電漿谷積限制區域145,,該形成的大容積 疋藉由該上腔室襯墊164、外部電漿限制結構162、該上部 電極142以及該下部電極144定義而成。在該實施例圖示 中,該電漿限制環160a經由頂端或腔室的蓋結構而撤回或 縮回。在其他的實施例中’該電漿限制環16〇&撤回或縮回 進入夾頭及/或電極144結構中。 在如圖5所示的大電聚谷積限制結構中,電漿充滿該 ^積限制區域145中的大容積,並在低壓下導致高偏壓及 向電漿流。一般而s在大電漿容積限制區域丨45’中的電漿 550645 五、發明說明(15) 會於腔室表面上產生較多的高分子沉積,以及因此該上部 腔室襯墊1 6 4是需要的。此外,由於流過外部電漿限制結 構162且具有中性成分的電漿流經該蝕刻室2〇〇至該渦輪果 2 0 2,使高分子殘餘物的累積擴大,使得下部腔室襯墊丨6 6 也是必要的。
外部電漿限制結構1 62被配置以定義出該大電漿容積 限制區域1 4 5,的邊界,並從實質上的電漿中性成分中,促 進任何殘留離子及電子或帶電成分的中性化。失去效能的 電漿氣體流過外部電漿限制結構,同時被電漿護套限制於 大電漿容積限制區域1 4 5,中。在本發明的另一個實施例 中’為了要達到期望的密度範圍及電漿護套的流量,該外 部電漿限制結構162沿著垂直軸丨80而配置。該外部電^限 制結構1 62如此的配置,可使由該電漿限制環丨6〇延伸的^ 電漿谷積限制區域145(圖4)以及圖5所示的大電漿容積限 制區域1 4 5之間允許一些變動。需要確認的是,該外部 裝限制結構1 62的變動位置,其需要配置該下部腔室襯塾 1 62以使適當且連續的内部腔壁均被覆蓋到。該外部電漿 限制結構1 62的變動位置典型的分布範圍從含有該外部$ 漿限制結構162的大電漿容積162a,靠近該蝕刻室2〇〇内部 中間區域且鄰近於該下部電極144的表面之位置,到達含 有該外部電漿限制結構162的最大電漿容積162b,靠近二 蝕刻室200内側下部區域。在另一個實施例中,藉由= 的腔室襯墊164、166結構配置成可變動的限制區域。
襯墊164、166含有組合於該上部腔室襯墊164上的外部電I
第20頁 550645 五、發明說明(16) 漿限制結構162,並根據期望的電漿容積而選定。在此方 法中,任何複數腔室襯墊164、166其中之一結構被利用以 配置钱刻室2 0 0形成期望的電漿容積。 "亥可配置電漿容積限制蝕刻室2 0 0可依據期望的蝕-刻 程達成最佳的電漿容積。本發明的相同實施例可配置含 有,伸的電漿容積限制區域的小電漿容積以及電漿容積限 制%所定義的小電漿容積限制環,然後該電漿限制環可由 I伸的位置輸送成縮回的位置以定義出大電漿限制區域。 =電漿限制元件的位置輸送,由延伸或關閉的位置輸送為 鈿回或開啟的位置,或由縮回得位置到延伸的位置,不論 〇電聚容積限制製程室或小電漿容積限制製程室均配置相 二的製程室。jt外,當該腔室配置成大電漿容積限制區域 :制結構是可配置的,用以調整該大電裝容積 ^制回到ί 1所示的雙金屬鑲嵌範例,該操作1 〇 2的第一蝕 1i ί是藉使用如圖5所示的大電漿容積限制結構而最佳 =仃。該大容積電漿限制結構在低壓下獲得高偏壓、高 電水亚得到均勻且受控制的蝕刻。 接著,該第一移除光阻104藉使用如圖4 ===構,化實行。該小容積氧氣電以 ,晶圓以及對光阻移除操作來說最 密度=速率,故最適於執行氧氣電漿㈣並獲得高 在下一個特徵的圖案化之後,執行該第二蝕刻製程 第21頁 550645 五、發明說明(17) 1 0 8。參照圖1之以上敘述, 一 漿容積或大電漿容積限制結;刻製程108藉由小電 結構及期望㈣的程度而’是㈣其特定的 選擇的蝕刻中止層,該最佳的’若該結構包括一 容積限制結構。該#刻中止層二t圖5所示的大|電漿 憑藉此層使得蝕刻介電層對有;、疋用以當-阻障層, 快開始反應。該大電聚容以::特定化學物質不致過 對於特定餘刻製程典型的期望制:,的高流量與高偏壓是 的,該外部電聚限制結:62望的二 室200中較高的位置而減少的2 = = 隨在㈣ 適當的㈣電㈣I H積區域145 ’可提供最 積FT t : ί利用蝕刻中止層’則最佳的結構應為小電漿容 d】、電聚容積限制結構可達成 層並可期望精確的兹刻過上介電[而停止於該第j 兩去移除光阻11(3以及氮化耗刻112,-般而言, =错由小電漿容積限制結構而最佳化。如上所述的低 介電材=的Ϊ離子能量都是較適當#,當顧慮到將 情形最小化時,也適合氮化石夕敍刻的特 所 作 此 一實施例中,該可配置電漿容積限制蝕刻室適合於 ,的蝕刻操作。纟一配置中,該腔室適合於清潔模式操 在另一配置中,該腔室適合於沉積模式操作。因 單一可配置電漿容積限制蝕刻室適合於去膜、氮
第22頁 550645 五、發明說明(18) 化、氧化蝕刻 中以達成最佳 及停工時間的 統工具而將成 雖然前述 描述’在隨附 而易知的實行 性者,本發明 例範圍相等之 製程。因此,相容的腔室可結合於單一系統 半導體晶圓製造,達成具備最少傳遞時間以 生產力,並同時藉由使用多種功能、單一系 本最小化。 的發明基於更加清楚瞭解的目的已被詳細的 ^ ㈣® t的-些變化及修改將可顯 卄3 I·本只知例應被視為舉例性而非限制 變化均應包含在=中所有與隨附之申請 550645 圖式簡單說明 著以下的詳細說明及、结合附圖可容易的瞭 解’其中類似的參考數字標出類似的結構元件 程的示一典型的藉由第一雙“鑲嵌製程之蚀刻製 転的操作方法的流程圖; -介=顯:―範例性的基板,其上已配置有阻障層、第 ;丨電層、蝕刻中止層,以及第二介電層; 刻;圖1C顯示圖1B中的範例性的基板,其中孔已被蝕 =1D顯示在雙金屬鑲嵌製程巾 義出的完整特徵; 娜剽衣担所疋 圖2 A為位於韻刻室中,—〜 方塊圖; 典1小電水谷積封閉環境的 圖2B為位於勉刻室中,— + 方塊圖; 一八盂大電漿谷積封閉環境的 圖3A顯示依據本發明的 將λ . ν另,'個實施例’具有_ 電漿容積封閉區域之蝕刻3、 育可配置 圖4顯示依據本發明的 積封閉區域之㈣室的方&施例’具有—可配置電聚容 圖3 B顯示依據本發明的· ’ $室的方塊圖; 封閉蝕刻室; ,、個實施例的可配置電漿容積 個實施例的可配置電聚容 積封閉蝕刻室 圖5顯示依據本發明的另 符號說明
$ 24頁 550645 圖式簡單說明 1 0 0〜蝕刻製程流程圖 1 0 2〜第一蝕刻製程操作 1 0 4〜移除光阻 1 0 6〜下一蝕刻製程之圖案化 I 〇 8〜第二蝕刻製程 II 0〜移除光阻 11 2〜蝕刻氮化矽 120〜基板 122〜第一介電層 124〜第二介電層 1 2 6 a〜阻障層 126b〜钱刻中止層 128a〜光阻層 128b〜光阻 1 3 0〜孔 132〜渠溝結構 140〜電漿蝕刻腔室 1 4 2〜上部電極 1 4 4〜下部電極 145〜小電漿容積封閉區域 145’〜大電漿容積封閉區域 1 46〜晶圓 147〜電漿 148〜封閉環
第25頁 550645 圖式簡單說明 1 5 0〜電漿限制構造 1 6 0〜電漿限制環、圓形環 160a〜電漿限制環 1 6 2〜外部電漿限制結構 162a〜大電漿容積 162b〜最大電漿容積 164〜上部腔室襯墊 166〜下部腔室襯墊 « 1 7 0〜間隙壁 1 7 2〜軸 1 7 3〜限制元件 1 8 0〜垂直轴 2 0 0〜蝕刻室 2 0 2〜渦輪泵
第26頁

Claims (1)

  1. 550645 申請專利範圍 h ::電漿製程室,包括: 一下部電極,右制如山 -上部電極,中配置用以支撐-基板; 容 -電漿限制元:::部電極之上方;及 間輪送,該關閉方向—==用以在關閉方向及開啟方向之 該開啟方向定義出^義出一電漿製程的第一容積,以及 積小於第二ίί電裝製程的第二容積,其中該第 中該限制ί 項中敘述之電漿製程室 3 匕括複數電漿限制環。 苴 中m ϊ ί請專利範圍第2項中救述之電漿製程室 中該複數電漿限制環-起輸送。 由&在申請專利範圍第2項中敘述之電漿製程室,其 制ί Ϊ電漿限制環藉由間隙壁分隔開來,以及該電漿限 制裱與間隙壁均装設在軸上。 电戈限 5 · σ在申請專利範圍第1項中敘述之電漿製程室,其 該軸疋δ又计用來移動的,俾能輸送該電漿限制元件於該 關閉方向及該開啟方向之間。 6 · 在申請專利範圍第1項中敘述之電漿製程室,其 中該電衆製程在該開啟方向促使電漿流動速率增加以及偏 壓增加。 7· 在申請專利範圍第1項中敘述之電漿製程室,其 中該電t製程在該關閉方向時,相對於該電漿製程在該開 啟方向時,將促使產生較高密度電漿。 8· 在申請專利範圍第7項中敘述之電漿製程室,其
    第27頁 550645 六、申請專利範圍 日ΐ在=關閉方向時,相對於該電漿製程是在該開啟方向 4 5將促使產生較高壓力的電聚。 9在申請專利範圍第丨項中敘述之電漿製程室,進 一步包括: 一限制結構,配置於該下部電極的周圍,並低於該下 部電極的水平線。 ι〇·在申請專利範圍第g項中敘述之電漿製程室,其 中該限制結構裝設在該電漿製程室的襯墊上。 11.在申請專利範圍第9項中敘述之電漿製程室,其 中該限制結構的位置是可調整的,該位置被調整離該下部 私極的水平線更近或更返’其中在位置上的調整改變該第 二容積。 12· 一種電漿儀刻製程室,具有可配置電漿容積, 該電漿蝕刻製程室包括: 可配置的電漿限制環,定義複數的分離平行通道,允 許氣體由内表面通過該可配置電漿限制環到達外表面,該 平行通道在平行於通過該平行通道的氣體之流動方向上分 隔開’該可配置電漿限制環被配置在一對平行電極周圍, 並定義出產生電漿的一第一電漿限制區域於其間,以及當 該離子粒子通過該平行通道時,該平行通道是成比率的, 藉由將電漿中產生的離子粒子中性化,實質上將電漿限制 在第一電漿限制區域中; 一上部腔室襯墊,配置以作為一電漿蝕刻製程室之上 部區域的襯墊,並具備含有複數孔隙的一外部電漿限制
    550645 六、申請專利範圍 環; 以定ί出中Π =装限㈣,可被配置於-延伸的位置 ώ Μ -電漿pp W漿限制區域,以及一縮回的位置以定義 出一弟,电浆限制區域。 —中談在第申二專利範圍第12項中敛述之電漿触刻製程 t二:、:了以一電漿限制區域藉由-對平行電•、該上部 襯在W該外部電漿限制結構而界定出來。 —Α明專利範圍第13項中敘述之電漿蝕刻製程 至/ —邛電漿限制結構的該複數孔隙的比率,實質 上受限該弟二電漿限制區域中的電漿。 15·在申請專利範圍第1 2項中敘述之電漿蝕刻製程 室,其中該上部腔室襯墊被配置於該外部電漿限制結構複 數位置的其中之一個位置。 16·在申睛專利範圍第1 2項中敘述之電漿蝕刻製程 室,其中該可配置電漿限制環是由介電質構成。 17.在申請專利範圍第1 2項中敘述之電漿蝕刻製程 室’其中該可配置電漿限制環包括複數間隙壁,並且該可 配置電漿限制環與間隙壁均裝設於軸上。 1 8 ·在申請專利範圍第1 7項中敘述之電漿蝕刻製程 室,其中該軸是設計用以將該可配置電漿限制環鈐w 伸位置及縮回位置之間。 μ适於延 19· 在申請專利範圍第1 2項中敘述之電槳麵 q 室,其中具有該可配置電漿限制環位於延伸的位置日^程 漿製程,可增加電漿流動速率及增加偏壓。 τ的電
    第29頁 550645 六、申請專利範圍 室,2·中另在申請專利範圍第1 2項中敘述之電漿蝕刻製程 ^制二具有該可配置電漿限制環位於縮回的位置時的電 時的電默製鞀、二ΐ可配置電漿限制環位於延伸的位置 21 #可產生更高密度的電漿。 室,直中枯在申請專利範圍第12項中敘述之電漿蝕刻製程 具有該可ϊΐΐ 制環位於延伸的位置時,相對於 可獲得更高a力電以位於縮…置時的電漿製程’ 梦,爷本道μ種半導體晶圓製程室,具有一可配置電漿容 積,該+導體晶圓製程室包括: 罝电水谷 一上部電極; 接收-ΡGil ΓΠ該上部電極並且配置成在製程中 以及該了部ί j d:邊;有該上部電極當作上部邊界 第一電漿限制區域,且 界,以及該下部電極當ί下;上部電極當作上部邊 當作侧邊界,其中該。卩邊界,以及一上部腔室襯墊 餘構炎且配置成該;導體曰配置於該外部電衆限制 及u體日日®製帛室之上部區域的襯墊; 一電漿限制元件,具有至小一 間隙壁,及複數的軸,該二個電漿限制環、複數的 製箨室之中並配置在第^ 制兀件被置於半導體晶圓 複數乎行的周圍通ί電漿限制區域周圍,並且定義出 550645 六、申請專利範圍 置,限制元件被配置位於其中之一的延伸位 義出該第ΐϊί:電漿限制區域,及-縮回的位置以定 /乐一電漿限制區域。 2 3 〜 程室,1巾在 1申^專4利乾圍第22項中敘述之半導體晶圓製 伸位置邀:3 是設計用以將該電漿限制元件在該延 夏興%回位置之間輸送。 程室2,4·其/妓申請專利範圍第22項中敘述之半導體晶圓製 位置時,^ 有該電漿限制元件的電漿製程位於縮回的 25可增加電漿流動速率以及增加偏壓。 裎室,苴申明專利範圍第2 2項中敘述之半導體晶圓製 製程,相斜“具有該電漿限制元件位於延伸位置時的電漿 時的雷骑f於具有該可配置電漿限制元件位於縮回的位置 ^裝製程,可產生更高密度的電漿。 程室,苴Φ在申請專利範圍第25項中敘述之半導體晶圓製 漿製γ 該具有該電漿限制元件位於延伸的位置時的電 時ί ^將Ϊ對於具有該可配置電漿限制元件位於縮回位置 电水衣程’可獲得更高壓力電漿製程。 2?·在申請專利範圍第22項中敘述之半導體晶圓製 !該外部電漿限制結構包括複數孔隙,該孔隙比 “具貝上受限該第二電漿限制區域中的電漿。 ^ 28.在申請專利範圍第27項中敘述之半導體晶圓製 程至’其中該外部電漿限制結構的位置是可調整的,該位 置可調整離該下部電極的水平線更近或更遠,其中在位置 上的調整改變該第二電漿限制區域的容積。八
    550645 六、申請專利範圍 29. 在申請專利範圍第28項中敘述之半導體晶圓製 程室,其中該外部電漿限制結構是由介電質所構成。 30. 在申請專利範圍第22項中敘述之半導體晶圓製 程室,其中至少一電漿限制環是由介電質所構成。 31. 在申請專利範圍第2 2項中敘述之半導體晶圓製 程室,其中該電漿限制元件具有六個限制環。
    第32頁
TW091113718A 2001-06-29 2002-06-21 Configurable plasma volume etch chamber TW550645B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/895,537 US6527911B1 (en) 2001-06-29 2001-06-29 Configurable plasma volume etch chamber

Publications (1)

Publication Number Publication Date
TW550645B true TW550645B (en) 2003-09-01

Family

ID=25404649

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091113718A TW550645B (en) 2001-06-29 2002-06-21 Configurable plasma volume etch chamber

Country Status (9)

Country Link
US (1) US6527911B1 (zh)
EP (1) EP1402560B1 (zh)
JP (1) JP4475946B2 (zh)
KR (1) KR100883948B1 (zh)
CN (1) CN1309000C (zh)
AT (1) ATE425547T1 (zh)
DE (1) DE60231498D1 (zh)
TW (1) TW550645B (zh)
WO (1) WO2003003403A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI478635B (zh) * 2008-01-08 2015-03-21 Lam Res Corp 寬範圍流導零件組用之方法與設備
TWI480948B (zh) * 2006-03-03 2015-04-11 Lam Res Corp 用於電漿處理室之選擇性預塗佈的方法及設備

Families Citing this family (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
WO2003062490A2 (en) * 2002-01-17 2003-07-31 Sundew Technologies, Llc Ald apparatus and method
US6914005B2 (en) * 2002-03-01 2005-07-05 Hitachi High-Technologies Corporation Plasma etching method
US6936135B2 (en) * 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
US6926803B2 (en) * 2002-04-17 2005-08-09 Lam Research Corporation Confinement ring support assembly
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7780786B2 (en) * 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7078344B2 (en) * 2003-03-14 2006-07-18 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US7217649B2 (en) * 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US7232766B2 (en) * 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
WO2004095530A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
WO2004095532A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
WO2004088710A2 (en) * 2003-04-02 2004-10-14 Nkt Research & Innovation A/S Method and apparatus for gas plasma treatment with controlled extent of gas plasma, and use thereof
US7455748B2 (en) * 2003-06-20 2008-11-25 Lam Research Corporation Magnetic enhancement for mechanical confinement of plasma
US20050103267A1 (en) * 2003-11-14 2005-05-19 Hur Gwang H. Flat panel display manufacturing apparatus
KR100850424B1 (ko) 2003-12-31 2008-08-04 동부일렉트로닉스 주식회사 반도체용 한정 링 유닛
US7226869B2 (en) * 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100790392B1 (ko) * 2004-11-12 2008-01-02 삼성전자주식회사 반도체 제조장치
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7291286B2 (en) * 2004-12-23 2007-11-06 Lam Research Corporation Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US7364623B2 (en) * 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7198677B2 (en) * 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US20060246727A1 (en) * 2005-04-27 2006-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated dual damascene clean apparatus and process
US7837825B2 (en) * 2005-06-13 2010-11-23 Lam Research Corporation Confined plasma with adjustable electrode area ratio
US20060278339A1 (en) * 2005-06-13 2006-12-14 Lam Research Corporation, A Delaware Corporation Etch rate uniformity using the independent movement of electrode pieces
KR100621778B1 (ko) * 2005-06-17 2006-09-11 삼성전자주식회사 플라즈마 처리 장치
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7632377B2 (en) * 2006-01-24 2009-12-15 United Microelectronics Corp. Dry etching apparatus capable of monitoring motion of WAP ring thereof
KR101346081B1 (ko) * 2006-06-20 2013-12-31 참엔지니어링(주) 플라스마 에칭 챔버
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7824519B2 (en) * 2007-05-18 2010-11-02 Lam Research Corporation Variable volume plasma processing chamber and associated methods
JP2011503349A (ja) * 2007-11-08 2011-01-27 アプライド マテリアルズ インコーポレイテッド 可動性シールドを備えた電極構成
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
US7987814B2 (en) 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
US8382941B2 (en) * 2008-09-15 2013-02-26 Micron Technology, Inc. Plasma reactor with adjustable plasma electrodes and associated methods
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
JP2010245145A (ja) * 2009-04-02 2010-10-28 Shibaura Mechatronics Corp プラズマ処理装置
US8101510B2 (en) * 2009-04-03 2012-01-24 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus
US8623171B2 (en) * 2009-04-03 2014-01-07 Varian Semiconductor Equipment Associates, Inc. Plasma processing apparatus
KR102240849B1 (ko) * 2009-08-31 2021-04-14 램 리써치 코포레이션 무선 주파수 (rf) 접지 복귀 장치들
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US8249900B2 (en) * 2010-02-10 2012-08-21 Morgan Stanley & Co. Llc System and method for termination of pension plan through mutual annuitization
US20110207332A1 (en) * 2010-02-25 2011-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film coated process kits for semiconductor manufacturing tools
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
JP5781808B2 (ja) * 2010-03-31 2015-09-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR20110113066A (ko) 2010-04-08 2011-10-14 삼성전자주식회사 반도체 제조 장치의 플라즈마 처리 방법
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US20120083129A1 (en) * 2010-10-05 2012-04-05 Skyworks Solutions, Inc. Apparatus and methods for focusing plasma
US9478428B2 (en) 2010-10-05 2016-10-25 Skyworks Solutions, Inc. Apparatus and methods for shielding a plasma etcher electrode
US8974683B2 (en) * 2011-09-09 2015-03-10 Varian Semiconductor Equipment Associates, Inc. Method and system for modifying resist openings using multiple angled ions
TWI646869B (zh) * 2011-10-05 2019-01-01 美商應用材料股份有限公司 對稱電漿處理腔室
US9267605B2 (en) 2011-11-07 2016-02-23 Lam Research Corporation Pressure control valve assembly of plasma processing chamber and rapid alternating process
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
SG11201402447TA (en) * 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
US9786471B2 (en) * 2011-12-27 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma etcher design with effective no-damage in-situ ash
CN103295867B (zh) 2012-02-29 2016-12-28 细美事有限公司 等离子体边界限制器单元和用于处理基板的设备
KR101440124B1 (ko) * 2012-02-29 2014-09-15 세메스 주식회사 플라즈마 경계 제한 유닛, 그리고 기판 처리 장치
US9679751B2 (en) * 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9449794B2 (en) 2012-07-20 2016-09-20 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and spiral coil antenna
US9928987B2 (en) 2012-07-20 2018-03-27 Applied Materials, Inc. Inductively coupled plasma source with symmetrical RF feed
US10170279B2 (en) 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US10131994B2 (en) * 2012-07-20 2018-11-20 Applied Materials, Inc. Inductively coupled plasma source with top coil over a ceiling and an independent side coil and independent air flow
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US10249470B2 (en) 2012-07-20 2019-04-02 Applied Materials, Inc. Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding
US10714436B2 (en) 2012-12-12 2020-07-14 Lam Research Corporation Systems and methods for achieving uniformity across a redistribution layer
US9373551B2 (en) 2013-03-12 2016-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Moveable and adjustable gas injectors for an etching chamber
US10163606B2 (en) 2013-03-15 2018-12-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
KR101598465B1 (ko) * 2014-09-30 2016-03-02 세메스 주식회사 기판 처리 장치 및 방법
JP6523714B2 (ja) 2015-03-05 2019-06-05 東京エレクトロン株式会社 プラズマ処理装置
KR101792941B1 (ko) * 2015-04-30 2017-11-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 화학기상증착장치 및 그 세정방법
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
JP6050860B1 (ja) * 2015-05-26 2016-12-21 株式会社日本製鋼所 プラズマ原子層成長装置
KR101909478B1 (ko) * 2016-10-31 2018-10-18 세메스 주식회사 기판 처리 장치
US11127572B2 (en) * 2018-08-07 2021-09-21 Silfex, Inc. L-shaped plasma confinement ring for plasma chambers
CN113130284B (zh) * 2019-12-31 2023-01-24 中微半导体设备(上海)股份有限公司 等离子体刻蚀设备
US20210391146A1 (en) * 2020-06-11 2021-12-16 Applied Materials, Inc. Rf frequency control and ground path return in semiconductor process chambers
CN115881506B (zh) * 2023-03-02 2023-06-27 深圳市新凯来技术有限公司 等离子体调节装置及半导体刻蚀设备

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5832417A (ja) 1981-08-21 1983-02-25 Matsushita Electric Ind Co Ltd プラズマエツチング装置及びプラズマエツチング方法
JPH0834205B2 (ja) 1986-11-21 1996-03-29 株式会社東芝 ドライエツチング装置
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
JP3019002B2 (ja) * 1996-09-20 2000-03-13 日本電気株式会社 ドライエッチング装置及びドライエッチング方法
JP3536585B2 (ja) 1997-04-25 2004-06-14 松下電器産業株式会社 ワークのプラズマ処理装置およびプラズマ処理方法
JP3468446B2 (ja) 1997-05-20 2003-11-17 東京エレクトロン株式会社 プラズマ処理装置
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
JP3002448B1 (ja) * 1998-07-31 2000-01-24 国際電気株式会社 基板処理装置
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI480948B (zh) * 2006-03-03 2015-04-11 Lam Res Corp 用於電漿處理室之選擇性預塗佈的方法及設備
TWI478635B (zh) * 2008-01-08 2015-03-21 Lam Res Corp 寬範圍流導零件組用之方法與設備

Also Published As

Publication number Publication date
JP2005521229A (ja) 2005-07-14
EP1402560A1 (en) 2004-03-31
JP4475946B2 (ja) 2010-06-09
US6527911B1 (en) 2003-03-04
WO2003003403A1 (en) 2003-01-09
DE60231498D1 (de) 2009-04-23
KR100883948B1 (ko) 2009-02-18
EP1402560B1 (en) 2009-03-11
CN1550027A (zh) 2004-11-24
KR20040021620A (ko) 2004-03-10
ATE425547T1 (de) 2009-03-15
CN1309000C (zh) 2007-04-04

Similar Documents

Publication Publication Date Title
TW550645B (en) Configurable plasma volume etch chamber
JP7250857B2 (ja) 低k及びその他の誘電体膜をエッチングするための処理チャンバ
KR20190053282A (ko) 선택적 SiN 측방향 리세스
CN111033699B (zh) 改良的金属接触定位结构
JP7122061B2 (ja) エアギャップ形成プロセス
WO2015026506A1 (en) Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
WO2014099260A1 (en) Selective titanium nitride etching
WO2018195423A1 (en) Structure with selective barrier layer
TWI729495B (zh) 高溫rf加熱器座
US20210111033A1 (en) Isotropic silicon nitride removal
US10128086B1 (en) Silicon pretreatment for nitride removal
KR20220157476A (ko) 등방적 질화규소 제거
CN117916865A (zh) 高深宽比特征中的金属蚀刻
TWI782981B (zh) 子鰭片至絕緣體矽之轉換
US20230395385A1 (en) Plasma etching tools and systems
TW202247283A (zh) 含釕材料的選擇性移除法
CN117769756A (zh) 高深宽比特征中的金属沉积和蚀刻
JP2023535388A (ja) ホウ素がドープされたシリコン材料を利用した集積プロセス
JP2023546602A (ja) 電極の調整によるハードマスクの調節

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees