JP7122061B2 - エアギャップ形成プロセス - Google Patents

エアギャップ形成プロセス Download PDF

Info

Publication number
JP7122061B2
JP7122061B2 JP2020543022A JP2020543022A JP7122061B2 JP 7122061 B2 JP7122061 B2 JP 7122061B2 JP 2020543022 A JP2020543022 A JP 2020543022A JP 2020543022 A JP2020543022 A JP 2020543022A JP 7122061 B2 JP7122061 B2 JP 7122061B2
Authority
JP
Japan
Prior art keywords
spacer layer
spacer
layer
forming
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020543022A
Other languages
English (en)
Other versions
JP2021514539A (ja
Inventor
アシシュ パル,
ゴラフ タレハ,
サン クエイ リン,
アンチョアン ワン,
チン-メイ スー,
ニティン イングル,
アジャイ バトナガー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021514539A publication Critical patent/JP2021514539A/ja
Application granted granted Critical
Publication of JP7122061B2 publication Critical patent/JP7122061B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

関連出願の相互参照
[0001] 本出願は、2018年2月15日に出願された米国仮出願第62/631,179号の利益を主張し、あらゆる目的のために、その全体が参照により本明細書に組み込まれる。
[0002] 本技術は、半導体システム、プロセス、および装置に関する。より具体的には、本技術は、半導体デバイス上に材料層を形成およびエッチングするためのシステムおよび方法に関する。
[0003] 集積回路は、基板表面上に複雑にパターン二ングされた材料層を生成するプロセスによって可能になる。基板上にパターン二ングされた材料を生成するには、露出した材料を除去するための制御された方法が必要である。化学エッチングが、フォトレジスト内のパターンを下層に転写すること、層を薄くすること、または表面上に既に存在するフィーチャの横方向寸法を細くすることを含む種々の目的のために使用される。多くの場合、ある材料を別の材料よりも速くエッチングして、例えば、パターン転写プロセスまたは個々の材料の除去を容易にするエッチングプロセスを有することが望ましい。このようなエッチングプロセスは、第1の材料に対して選択的であると言われる。材料、回路、およびプロセスの多様性の結果として、種々の材料に対して選択性をもったエッチングプロセスが、開発されてきた。
[0004] エッチングプロセスは、プロセスで使用される材料に基づいて湿式または乾式と呼ぶことができる。湿式HFエッチングは、他の誘電体および材料よりも優先的に酸化シリコンを除去する。しかしながら、湿式プロセスは、幾つかの狭いトレンチに入り込むことが困難であり、また、残った材料を変形させることもある。乾式エッチングプロセスは、複雑なフィーチャおよびトレンチに入り込むことができるが、許容可能な頂部から底部へのプロファイルを提供しない場合がある。次世代デバイスにおいてデバイスサイズが縮小し続けるにつれて、選択性は、わずか数ナノメートルしかない材料が、ある特定の層に形成される場合、特に材料がトランジスタ形成において重要である場合に、より大きな役割を果たし得る。さらに、製造中の任意の所与の時点で、露出した材料の数が増加するにつれて、他の全ての露出した材料に対して十分な選択性を維持することが、より困難になってきている。多くの異なるエッチングプロセス選択性が、様々な材料間で開発されてきたが、標準的な選択性は、現在および将来のデバイススケールでは、もはや適していない可能性がある。
[0005] したがって、高品質のデバイスおよび構造を製造するために使用することができる改善されたシステムおよび方法に対する必要性が存在する。これらおよび他の必要性が、本技術によって対処される。
[0006] 半導体基板上にエアギャップスペーサを形成するための処理方法が、実行され得る。この方法は、第1の材料と、第1の材料とは異なる第2の材料とを含むスペーサ構造を形成することを含むことができる。この方法は、ソース/ドレイン構造を形成することを含むことができる。ソース/ドレイン構造は、少なくとも1つの他の材料によってスペーサ構造の第2の材料からオフセットされてもよい。この方法はまた、スペーサ構造から第2の材料をエッチングしてエアギャップを形成することを含んでもよい。ソース/ドレイン構造は、エッチング中にエッチャント材料に曝されなくてもよい。
[0007] いくつかの実施形態では、スペーサ構造は、半導体基板上のゲート構造に近接して形成されてもよい。ソース/ドレイン構造は、ゲート構造に垂直に形成されてもよい。ソース/ドレイン構造は、シリコンまたはシリコンゲルマニウムであってもよいし、それらを含んでいてもよい。第1の材料および第2の材料は、酸素または窒素であってもよいし、それらを含んでいてもよい。第1の材料および第2の材料は、窒化シリコン、炭窒化シリコン、酸炭化シリコン、酸炭窒化シリコン、酸化シリコン、金属酸化物、または金属窒化物のうちの1つ以上であってもよいし、1つ以上を含んでいてもよい。スペーサ構造を形成することは、ゲート構造に隣接して、第1の材料を含む第1のスペーサ層を形成することを含むことができる。第1のスペーサ層は、ゲート構造と交差するフィンを、少なくとも部分的に覆うことができる。スペーサ構造を形成することは、第1のスペーサ層に隣接して、第2の材料を含む第2のスペーサ層を形成することを、さらに含むことができる。この方法はまた、第2のスペーサ層に隣接して第3のスペーサ層を形成することを、さらに含むことができる。第3のスペーサ層は、第1のスペーサ層と同じ材料とすることができる。この方法はまた、第3のスペーサ層を形成する前に、第1のスペーサ層および第2のスペーサ層をエッチングして、ゲート構造と交差するフィンを露出させることを、さらに含んでもよい。この方法はまた、第3のスペーサ層をエッチングして、ゲート構造と交差するフィンを部分的に露出させることを、さらに含んでもよい。
[0008] 本技術はまた、基板と、基板の上にあり、基板を横切る第1の方向に形成されたゲート構造とを含む半導体構造を包含する。構造は、基板の上にあり、基板を横切る第2の方向に形成されたフィンを含むことができる。第2の方向は、第1の方向に垂直であってもよく、フィンは、ゲート構造と交差してもよい。構造は、ゲート構造に隣接するスペーサ構造を含んでもよい。スペーサ構造は、エアギャップを含む少なくとも3つの層を含むことができる。構造はまた、スペーサ構造の外部でフィンの周りに形成されたソース/ドレイン材料を含むことができる。スペーサ構造の少なくとも1つの介在層が、ソース/ドレイン材料とエアギャップとの間に含まれてもよい。
[0009] いくつかの実施形態では、スペーサ構造は、ゲート構造に隣接し、フィンおよび基板の上でゲート構造から横方向外側に第1の距離だけ延在する第1の層を含んでもよい。スペーサ構造はまた、第1の層から横方向外側にある第2の層であって、第1の距離で第1の層に接触する第2の層を含んでもよい。エアギャップは、ゲートに隣接する第1の層の部分と、基板の上にある第1の層の部分と、第2の層との間に、少なくとも部分的に画定されてもよい。第1の層および第2の層は、窒化シリコン、炭窒化シリコン、酸炭化シリコン、酸炭窒化シリコン、酸化シリコン、金属酸化物、および金属窒化物からなる群から選択される1つ以上の材料であってもよく、またはそれらを含んでもよい。構造はまた、ゲート構造からの方向においてスペーサ構造の横方向外側に配置されたコンタクトエッチストップ層を含んでもよい。
[0010] 本技術はまた、半導体構造を形成する方法を包含することができる。この方法は、半導体基板上のゲート構造に近接して第1のスペーサ層を形成することを、含むことができる。第1のスペーサ層は、半導体基板上に形成されたフィンを少なくとも部分的に覆ってもよい。この方法は、第1のスペーサ層に隣接して第2のスペーサ層を形成することを、含むことができる。この方法は、フィンの側部領域を完全に垂直に露出させるように、第1のスペーサ層および第2のスペーサ層を後退させることを、含むことができる。この方法は、第1のスペーサ層に隣接して第3のスペーサ層を形成することを、含むことができる。第3のスペーサ層は、半導体基板上に形成されたフィンを少なくとも部分的に覆ってもよい。この方法はまた、フィンの側部領域を部分的に垂直に露出させるように、第3のスペーサ層を後退させることを、含むことができる。この方法はまた、フィンの部分的に垂直に露出された領域上にソース/ドレイン材料をエピタキシャル成長させることを、含むことができる。この方法は、第1のスペーサ層および第3のスペーサ層に対して選択的な第2のスペーサ層をエッチングして、第1のスペーサ層と第3のスペーサ層との間にエアギャップを形成することを、含むことができる。いくつかの実施形態では、ソース/ドレイン材料は、エッチング中にエッチャント材料に曝されなくてもよい。
[0011] このような技術は、従来のシステムおよび技術よりも多くの利益をもたらすことができる。例えば、エアギャップとソース/ドレイン材料との間に介在層を維持することによって、ソース/ドレイン材料は、エアギャップ形成中に、いかなるエッチャント材料にも曝されなくすることができる。さらに、ソース/ドレイン材料は、エッチャントに曝されなくすることができるので、ソース/ドレイン材料に関して選択性の低いエッチャントまたは非選択性のエッチャントを使用することができる。これらおよび他の実施形態が、それらの利点および特徴の多くと共に、以下の説明および添付の図面と併せてより詳細に説明される。
[0012] 開示される技術の性質および利点のさらなる理解は、本明細書の残りの部分および図面を参照することによって実現され得る。
本技術のいくつかの実施形態による例示的な処理システムの上面図を示す。 本技術のいくつかの実施形態による例示的な処理チャンバの概略断面図を示す。 本技術のいくつかの実施形態による例示的なシャワーヘッドの詳細図を示す。 本技術のいくつかの実施形態による例示的なシャワーヘッドの底面図を示す。 本技術のいくつかの実施形態による、半導体構造内にエアギャップを形成する方法における選択された工程を示す。 本技術のいくつかの実施形態による、選択された工程が実行されている基板材料の概略斜視図を示す。 本技術のいくつかの実施形態による、選択された工程が実行されている基板材料の概略斜視図を示す。 本技術のいくつかの実施形態による、選択された工程が実行されている基板材料の概略斜視図を示す。 本技術のいくつかの実施形態による、選択された工程が実行されている基板材料の概略斜視図を示す。 本技術のいくつかの実施形態による、選択された工程が実行されている基板材料の概略斜視図を示す。 本技術のいくつかの実施形態による、選択された工程が実行されている基板材料の概略斜視図を示す。 本技術のいくつかの実施形態による、選択された工程が実行されている基板材料の概略斜視図を示す。 本技術のいくつかの実施形態による、選択された工程が実行されている基板材料の概略斜視図を示す。
[0019] 図面のいくつかは、概略図として含まれる。図面は、例示を目的としたものであり、縮尺通りであると特に述べられていない限り、縮尺通りであると見なされるべきではないことを理解されたい。さらに、概略図として、図面は、理解を助けるために提供され、写実的な表現と比較して、全ての態様または情報を含まないこともあり、例示目的のために誇張された材料を含むこともある。
[0020] 添付の図面において、類似の構成要素および/または特徴は、同じ参照符号を有することがある。さらに、同じタイプの様々な構成要素は、類似の構成要素を区別する文字が参照符号に続くことによって区別されることがある。本明細書において最初の参照符号のみが使用される場合、説明は、文字にかかわらず、同じ最初の参照符号を有する類似の構成要素のいずれにも適用可能である。
[0021] 本技術は、小ピッチのフィーチャの半導体処理のためのシステムおよび構成要素を含む。デバイスサイズが縮小し続けるにつれて、寄生容量が、より大きな問題となる。具体的には、半導体デバイスにおける静電容量の2つのタイプは、能動容量と寄生容量である。能動容量は、一般に、標準的なデバイス性能に関係する。寄生容量は、デバイス性能に有害な影響を与える傾向があり、平行な導電線が誘電体によって分離される場合にしばしば発生する。例えば、トランジスタ構造において、ソースおよびドレインが、垂直導電性配線に接続されてもよく、ゲートも、垂直導電性配線に接続されてもよい。これらの導電性配線は、互いに平行に延び、酸化物などの誘電体材料によって分離された2本の金属配線であってもよい。この構造は、望ましくない、誘電体をまたぐ寄生容量を引き起こす可能性がある。この容量は、遅延を引き起こすことにより、デバイス性能を本質的に遅くする可能性があり、これはデバイスの周波数を低下させ、電力消費の一因ともなる。
[0022] 寄生容量は、間に入っている材料の誘電率、ならびに平行配線間の間隔に依存する。デバイスフィーチャのサイズが縮小するにつれて、配線間に配置される誘電体が、次第に少なくなり、これは、寄生容量を増加させる可能性がある。一般的な誘電体材料は、酸化シリコンを含み、これは、酸化物に応じて4~5付近の誘電率によって特徴付けられる。この誘電率は、平行な導電線間の間隔の減少に打ち勝つには不十分であり得る。したがって、代替材料を使用することができる。空気は、誘電率が約1であることによって特徴付けられ、従って、酸化物内にエアギャップを組み込むことは、導電性配線間の全体的な誘電率を減少させ、寄生容量を減少させる可能性がある。
[0023] 従来の技術は、エッチングプロセスが、複雑さの増大と周囲の材料の露出を必要とするので、エアギャップ形成に苦戦してきた。例えば、エアギャップのための垂直チャネルを形成するために、スペーサ材料を維持しながら、犠牲材料を除去してエアギャップを形成することができるような、2つの材料間の選択性を可能にするように、誘電体において代替材料が必要とされ得る。複数の誘電体が製造に利用されるので、製造が、エアギャップを形成する段階に到達したとき、犠牲材料に関する選択性によって各々が特徴付けられ得る、複数の他の誘電体材料が露出され得る。さらに、エアギャップ形成は、後にゲート構造を挟んでソースおよびドレインを形成し得る、シリコンまたはシリコンゲルマニウム構造のエピタキシャル成長などの、ソース/ドレイン材料形成に続いて、生じ得る。エアギャップが形成されるとき、ソース/ドレイン材料も、エッチャント材料に曝され得る。犠牲材料とソース/ドレイン材料との間に特定の選択性が存在し得るが、この選択性は、依然として、ソース/ドレイン材料のある量のエッチングを引き起こし得、これは、デバイス性能に有害な影響を及ぼし得る。
[0024] 本技術は、除去および形成のためのプロセスをいくつか調整することによって、かつ改良されたスペーサ構造を利用することによって、これらの問題を克服する。本技術によるスペーサは、複数のスペーサ層と、エアギャップ形成中のエッチャントへのソース/ドレイン材料の曝露を排除し得る製造プロセスとを含むことができる。本技術に従って形成された複数スペーサ構造を利用することによって、ソース/ドレイン材料をエッチングするという従来の有害な効果なしに寄生容量を低減するための一貫したエアギャップを生成することができる。
[0025] 残りの開示は、開示された技術を利用する具体的なエッチングプロセスを型どおりに特定するであろうが、システムおよび方法は、記載されたチャンバ内で起こり得るような堆積および洗浄プロセスに等しく適用可能であることが、容易に理解されるであろう。したがって、この技術は、エッチングプロセスのみで使用されるように限定されると考えるべきではない。本開示は、本技術による例示的なプロセスシーケンスの工程を説明する前に、除去工程のうちのいくつかを実行するために、本技術とともに使用され得る1つの可能なシステムおよびチャンバを説明する。
[0026] 図1は、実施形態による、堆積、エッチング、ベーキング、および硬化チャンバの処理システム100の一実施形態の上面平面図を示す。図において、1対の前方開口型統一ポッド(FOUP)102が、様々なサイズの基板を供給し、基板は、ロボットアーム104によって受け取られ、低圧保持領域106内に配置され、その後、タンデム部分109a~cに配置された基板処理チャンバ108a~fのうちの1つの中に配置される。第2のロボットアーム110を使用して、基板ウェハを保持領域106から基板処理チャンバ108a~fに移送し、また戻すことができる。各基板処理チャンバ108a~fは、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理気相堆積(PVD)、エッチング、前洗浄、脱ガス、配向、および他の基板プロセスに加えて、本明細書に記載されるドライエッチングプロセスを含む多数の基板処理工程を実行するように装備されることができる。
[0027] 基板処理チャンバ108a~fは、基板ウェハ上で誘電体膜を堆積、アニーリング、硬化、および/またはエッチングするための1つ以上のシステム構成要素を含むことができる。1つの構成では、2対の処理チャンバ、例えば、108c~dおよび108e~fを使用して、誘電体材料を基板上に堆積させてもよく、処理チャンバの第3の対、例えば、108a~bを使用して、堆積された誘電体をエッチングしてもよい。別の構成では、3対全てのチャンバ、例えば108a~fが、基板上の誘電体膜をエッチングするように構成されてもよい。記載されるプロセスのうちの任意の1つ以上が、種々の実施形態に示される製造システムから分離されたチャンバ内で実行されてもよい。誘電体膜のための堆積、エッチング、アニーリング、および硬化チャンバのさらなる構成が、システム100によって考えられることが理解されよう。
[0028] 図2Aは、仕切られたプラズマ生成領域を処理チャンバ内に有する例示的な処理チャンバシステム200の断面図を示す。例えば、窒化チタン、窒化タンタル、タングステン、シリコン、ポリシリコン、酸化シリコン、窒化シリコン、酸窒化シリコン、酸炭化シリコンなどの膜エッチング中、プロセスガスが、ガス入口アセンブリ205を通って第1のプラズマ領域215に流されてもよい。遠隔プラズマシステム(RPS)201が、任意選択で、システムに含まれ、第1のガスを処理してもよく、第1のガスは、次いで、ガス入口アセンブリ205を通って移動する。入口アセンブリ205は、2つ以上の別個のガス供給チャネルを含んでもよく、ここで、第2のチャネル(図示せず)は、含まれる場合、RPS201をバイパスしてもよい。
[0029] 冷却プレート203、フェースプレート217、イオンサプレッサ223、シャワーヘッド225、および基板255がその上に配置された基板支持体265が示されており、それぞれが実施形態に従って含まれてもよい。ペデスタル265は、基板の温度を制御するために熱交換流体が流れる熱交換チャネルを有することができ、熱交換流体は、処理工程中に基板またはウェハを加熱および/または冷却するように動作することができる。アルミニウム、セラミック、またはそれらの組み合わせを含むことができる、ペデスタル265のウェハ支持プラッタが、埋め込まれた抵抗加熱要素を使用して、約100℃以下から約1100℃以上までなどの、比較的高い温度を達成するために、抵抗加熱されてもよい。
[0030] フェースプレート217は、ピラミッド状、円錐状、または幅広の底部へと広がる狭い頂部を有する別の同様の構造のものであってもよい。フェースプレート217は、さらに、図示のように平坦であってもよく、プロセスガスを分配するために使用される複数の貫通チャネルを含んでもよい。RPS201の使用に応じて、プラズマ生成ガスおよび/またはプラズマ励起種が、第1のプラズマ領域215へのより均一な送達のために、図2Bに示すフェースプレート217内の複数の孔を通過することができる。
[0031] 例示的な構成は、フェースプレート217によって第1のプラズマ領域215から仕切られたガス供給領域258に開いたガス入口アセンブリ205を有し、ガス/種が、フェースプレート217内の孔を通って第1のプラズマ領域215内に流れることを、含むことができる。構造的および動作的特徴部は、第1のプラズマ領域215から供給領域258、ガス入口アセンブリ205、および流体供給システム210に戻るプラズマの著しい逆流を防止するように選択されてもよい。フェースプレート217、またはチャンバの導電性上部、およびシャワーヘッド225は、これらの特徴部の間に位置する絶縁リング220とともに示されており、これにより、シャワーヘッド225および/またはイオンサプレッサ223に対してフェースプレート217にAC電位を印加することができる。絶縁リング220は、フェースプレート217とシャワーヘッド225および/またはイオンサプレッサ223との間に配置することができ、第1のプラズマ領域に容量結合プラズマ(CCP)を形成することができる。第1のプラズマ領域へのガス入口アセンブリ205を通る流体の流れに影響を及ぼすために、バッフル(図示せず)が、第1のプラズマ領域215内に追加的に配置されてもよく、または別な方法でガス入口アセンブリ205と結合されてもよい。
[0032] イオンサプレッサ223は、第1のプラズマ領域215からのイオン荷電種の移動を抑制する一方で、非荷電中性種またはラジカル種がイオンサプレッサ223を通過してサプレッサとシャワーヘッドとの間の活性化ガス送達領域に入ることを可能にするように構成された、構造全体にわたる複数のアパーチャを画定するプレートまたは他の幾何学的形状を備えてもよい。実施形態では、イオンサプレッサ223は、様々なアパーチャ構成を有する有孔プレートを含むことができる。これらの非荷電種は、反応性の低いキャリアガスと共にアパーチャを通って運ばれる反応性の高い種を含むことができる。上述したように、孔を通るイオン種の移動は低減され、場合によっては完全に抑制される。イオンサプレッサ223を通過するイオン種の量を制御することは、有利には、下にあるウェハ基板と接触する混合ガスに対する制御を向上させることができ、これは、混合ガスの堆積および/またはエッチング特性の制御を向上させることができる。例えば、混合ガスのイオン濃度の調整は、そのエッチング選択性、例えば、SiNx:SiOxエッチング比、Si:SiOxエッチング比などを著しく変えることができる。堆積が実行される代替の実施形態では、誘電体材料のためのコンフォーマルスタイルの堆積と流動性スタイルの堆積とのバランスをシフトさせることもできる。
[0033] イオンサプレッサ223の複数のアパーチャは、イオンサプレッサ223を通る活性化ガス、すなわちイオン種、ラジカル種、および/または中性種の通過を制御するように構成することができる。例えば、孔のアスペクト比、すなわち孔の直径対長さ、および/または孔の幾何学的形状が、イオンサプレッサ223を通過する活性化ガス中のイオン荷電種の流れが低減されるように制御されてもよい。イオンサプレッサ223の孔は、プラズマ励起領域215に対向するテーパ部分と、シャワーヘッド225に対向する円筒部分とを含んでもよい。円筒部分は、シャワーヘッド225へ流れるイオン種の流れを制御するような形状および寸法にされてもよい。調節可能な電気バイアスが、サプレッサを通るイオン種の流れを制御するための追加の手段としてイオンサプレッサ223に印加されてもよい。
[0034] イオンサプレッサ223は、プラズマ生成領域から基板に移動するイオン荷電種の量を低減または除去するように機能することができる。非荷電中性種およびラジカル種は、依然として、イオンサプレッサの開口部を通過して基板と反応することができる。実施形態において、基板を取り囲む反応領域内のイオン荷電種の完全な除去が実行されなくてもよいことに、留意されたい。場合によっては、イオン種は、エッチングおよび/または堆積プロセスを実行するために基板に到達することが意図される。これらの場合、イオンサプレッサは、反応領域内のイオン種の濃度を、プロセスを促進するレベルに制御するのに役立ち得る。
[0035] イオンサプレッサ223と組み合わされたシャワーヘッド225は、第1のプラズマ領域215に存在するプラズマが基板処理領域233内のガスを直接励起することを回避することを可能にする一方で、励起種がチャンバプラズマ領域215から基板処理領域233内に移動することを、依然として可能にすることができる。このようにして、チャンバは、エッチングされている基板255にプラズマを接触させないように構成されてもよい。これは、基板上にパター二ングされた様々な複雑な構造および膜を有利に保護することができ、これらは、生成されたプラズマによって直接接触された場合には、損傷し、位置がずれ、または他の方法で歪められる可能性がある。さらに、プラズマが基板に接触するか、または基板の高さに近づくことができる場合、酸化物種がエッチングされる速度が増加することがある。したがって、材料の露出領域が酸化物である場合、この材料は、プラズマを基板から遠隔に維持することによって、さらに保護されることができる。
[0036] 処理システムは、フェースプレート217、イオンサプレッサ223、シャワーヘッド225、および/またはペデスタル265に電力を供給して第1のプラズマ領域215または処理領域233にプラズマを生成するために、処理チャンバと電気的に結合された電源240を、さらに含んでもよい。電源は、実行されるプロセスに応じて、調節可能な量の電力をチャンバに送達するように構成されてもよい。そのような構成は、実行されているプロセスにおいて、調整可能なプラズマを使用することを可能にし得る。多くの場合オンオフ機能を有する遠隔プラズマユニットとは異なり、調整可能なプラズマは、プラズマ領域215に特定の量の電力を送達するように構成することができる。これは、特定のプラズマ特性の発達を可能にし、前駆体が特定の方法で解離して、これらの前駆体によって生成されるエッチングプロファイルを強化することができる。
[0037] プラズマは、シャワーヘッド225の上方のチャンバプラズマ領域215またはシャワーヘッド225の下方の基板処理領域233のいずれで点火されてもよい。実施形態では、基板処理領域233内に形成されるプラズマは、ペデスタルが電極として作用して形成されるDCバイアスプラズマであってもよい。プラズマは、例えば、フッ素含有前駆体または他の前駆体の流入からラジカル前駆体を生成するために、チャンバプラズマ領域215内に存在してもよい。処理チャンバの導電性上部、例えばフェースプレート217と、シャワーヘッド225および/またはイオンサプレッサ223との間に、典型的には高周波(RF)範囲のAC電圧を印加して、堆積中にチャンバプラズマ領域215内にプラズマを点火してもよい。RF電源は、13.56MHzの高RF周波数を生成することができるが、他の周波数を単独で、または13.56MHz周波数と組み合わせて生成することもできる。
[0038] 図2Bは、フェースプレート217を通る処理ガスの分配に影響を及ぼす特徴部の詳細図253を示す。図2Aおよび図2Bに示すように、フェースプレート217、冷却プレート203、およびガス入口アセンブリ205が交差して、ガス供給領域258を画定し、その中にガス入口205からプロセスガスを供給することができる。ガスは、ガス供給領域258を満たし、フェースプレート217のアパーチャ259を通って第1のプラズマ領域215に流れることができる。アパーチャ259は、プロセスガスが処理領域233に流入することができるが、フェースプレート217を通り抜けた後にガス供給領域258に逆流するのを部分的または完全に防止することができるように、実質的に一方向に流れを導くように構成されてもよい。
[0039] 処理チャンバセクション200で使用するためのシャワーヘッド225などのガス分配アセンブリは、デュアルチャネルシャワーヘッド(DCSH)と呼ぶことができ、図3に記載の実施形態でさらに詳述される。デュアルチャネルシャワーヘッドは、処理領域233の外側でエッチャントを分離して、処理領域内に送達される前にチャンバ構成要素および互いと相互作用するのを制限することを可能にする、エッチングプロセスを提供することができる。
[0040] シャワーヘッド225は、上部プレート214および下部プレート216を備えることができる。プレートは、プレート間に容積部218を画定するように、互いに結合されてもよい。プレートの結合は、上部プレートおよび下部プレートを通る第1の流体チャネル219、ならびに下部プレート216を通る第2の流体チャネル221を提供するようなものであってもよい。形成されたチャネルは、容積部218から第2の流体チャネル221のみを経由して下部プレート216を通る流体アクセスを提供するように構成されてもよく、第1の流体チャネル219は、プレート間の容積部218および第2の流体チャネル221から流体的に隔離されてもよい。容積部218は、ガス分配アセンブリ225の側部を通って流体的にアクセス可能であってもよい。
[0041] 図3は、実施形態による、処理チャンバと共に使用するためのシャワーヘッド325の底面図である。シャワーヘッド325は、図2Aに示されるシャワーヘッド225に対応し得る。第1の流体チャネル219の眺めを示す貫通孔365は、シャワーヘッド225を通る前駆体の流れを制御し、流れに影響を与えるために、複数の形状および構成を有することができる。第2の流体チャネル221の眺めを示す小さな孔375は、貫通孔365の間であっても、シャワーヘッドの表面にわたって実質的に均等に分配されてもよく、前駆体がシャワーヘッドを出る際に、他の構成よりも、前駆体のより均等な混合を提供するのに役立ち得る。
[0042] 図4は、製造方法400の選択された工程を示し、その多くの工程は、例えば、前述のようなチャンバ200内で実行され得る。方法400は、フロントエンド処理、堆積、エッチング、研磨、洗浄、または説明された工程の前に実行され得る任意の他の工程を含む、方法の開始前の1つ以上の工程を含み得る。この方法は、本技術による方法のいくつかの実施形態に特に関連付けられてもよく、または関連付けられなくてもよい、図に示されるようないくつかの任意選択の工程を含んでもよい。例えば、工程の多くは、構造形成のより広い範囲を提供するために説明されるが、本技術にとって重要ではなく、または以下でさらに説明されるように代替の方法によって実行されてもよい。方法400は、図5に概略的に示される工程を説明し、その図は、方法400の工程に関連して説明される。図5は、部分概略図のみを示すものであり、基板は、図に示されるような態様を有する任意の数のトランジスタセクション、ならびに本技術の態様から依然として利益を得る可能性のある代替の構造的態様を含んでもよいことを理解されたい。
[0043] 方法400は、半導体構造を特定の製造工程に進展させるための任意選択の工程を含み得る。図5Aに示すように、半導体構造は、基板のP領域およびN領域などのフィン材料の周りにダミーゲート材料がエッチングされた後のデバイスを表すことができる。図示されているように、構造500は、シリコンもしくは他の半導体基板材料で作られた、またはそれを含有する基板501を含むことができ、その上に、1つ以上のフィン材料503が、基板501を横切って形成されている。構造500は、基板501の上に形成された多数のトランジスタ構造を有してもよい。例えば、ダミーゲート材料であってもよいゲート構造505が、基板501の上に形成されてもよく、これは、金属ゲートを製造するための処理において後で除去されてもよい。ゲート構造505は、ダミーゲートの上に形成されたキャップ材料507を有してもよい。図示されるように、ゲート構造505は、基板を横切る第1の方向において、基板の上に形成されてもよい。加えて、フィン503が、基板を横切る第2の方向に形成されてもよい。第2の方向は、第1の方向に対して垂直であってもよく、フィン503は、具体的には、ゲート構造505と交差して通り抜けてもよい。
[0044] いくつかの実施形態では、ダミーゲートは、ポリシリコンまたはシリコン含有材料であってもよい。キャップ材料507は、誘電体材料であってもよく、例えば、窒化シリコンであってもよい。図示されるように、構造500は、N-MOS領域510およびP-MOS領域512を含むが、配向は、処理中に重要でなくてもよく、構造は、逆の領域を示してもよい。以下に説明される工程のいくつかは、構造の一方の側で実行され、図示されていないが、その間、他方の側は、マスキングされたままであってもよい。次いで、マスキングは、除去および再形成によって切り替えられてもよく、次いで、類似の工程が、他方の構造上で実行されてもよい。これらの選択肢は、以下にさらに記載されるが、いずれか一方の領域が、他方の領域の前に処理されてもよく、方法は、記載される例によって限定されないことが、理解されるべきである。さらに、後述するスペーサ材料が、後の形成のための任意のマスキングの前に、基板上に同時に形成されてもよい。フィン503は、いろいろな実施形態において、同じまたは異なる材料を含むことができ、いくつかの実施形態では、シリコンまたはシリコンゲルマニウムを含む任意の既知の材料であってもよい。例えば、P領域512では、フィン503aは、シリコンであってもよく、またはシリコンを含んでもよく、N領域510では、フィン503bは、シリコンゲルマニウムであってもよく、またはシリコンゲルマニウムを含んでもよい。
[0045] 工程405において、図5Bに示すように、露出した構造上に第1のスペーサ層514を形成することができる。図示されるように、第1のスペーサ層514は、ゲート構造505、フィン503、ならびに基板501上の露出表面を、少なくとも部分的に覆ってもよい。第1のスペーサ層514は、露出した材料を共形に覆うように形成されてもよい。第1のスペーサ層514は、これらの露出した構造のそれぞれに近接していてもよく、ゲート構造の側壁に沿ってゲート構造505に直接隣接していてもよい。図示のように、フィン503の上を、第1のスペーサ層514は、フィン503の露出表面を覆うように、ゲート構造から外側に向かう方向に横方向に延在してもよい。第1のスペーサ層514は、実施形態において、第1の材料であってもよく、または第1の材料を含んでもよく、実施形態において、シリコン含有材料または金属含有材料を含んでもよい。第1のスペーサ層514は、絶縁材料であってもよく、実施形態では、酸素、窒素、および/または炭素のいずれかを含んでもよい。例示的な材料は、酸化シリコン、窒化シリコン、酸炭化シリコン、酸窒化シリコン、炭窒化シリコン、酸炭窒化シリコンであってもよく、もしくはそれらを含んでもよく、または酸素、窒素、および/もしくは炭素濃度のいずれかを含む金属含有材料であってもよく、例えば、アルミニウム、マグネシウム、チタン、タンタル、もしくは任意の他の金属および遷移金属種、ならびに他の半金属材料を含んでもよい。堆積または形成は、露出した構造上に、数ナノメートル未満または約数ナノメートルまたはそれ以上の厚さまで共形コーティングを実行する様々な方法のいずれかで、実行することができる。
[0046] 第1のスペーサ層514の形成の後に、第2のスペーサ層516を、図5Cに示すように、工程410において、第1のスペーサ層514に隣接して、その上に重ねて形成することができる。第2のスペーサ層516は、同様に、半導体構造の表面上の共形コーティング工程によって形成されてもよい。第2のスペーサ層516は、後に除去されて半導体構造内にエアギャップを生成し得る犠牲層であってもよい。図示するように、第2のスペーサ層516は、ゲート構造505、フィン503、または基板501のいずれにも直接接触していなくてよい。これらのフィーチャの各々は、第1のスペーサ層514によって完全に覆われ得るので、第2のスペーサ層516は、いくつかの実施形態では、第1のスペーサ層514にのみ接触し得るが、他の実施形態では、第2のスペーサ層516は、追加の構造にも接触し得る。
[0047] 第2のスペーサ層516は、第1のスペーサ層514を形成することができる第1の材料と同じ材料または異なる材料とすることができる第2の材料で形成することができる。第2のスペーサ層516は、前述の材料のいずれかから形成されてもよいし、またはそれらを含んでもよく、第1のスペーサ層514とは異なるアニオン組成または濃度によって特徴付けられ得る。例えば、第2の層516は、第1のスペーサ層514から増加した炭素、酸素、または窒素濃度によって特徴付けられ得る。この調整は、エッチングの選択性を基礎づけることができる特性を生成することができる。第1のスペーサ層514と第2のスペーサ層516との間で材料を調整することによって、第2のスペーサ層516は、後の除去工程において、第1のスペーサ層514に対して選択的に除去されて、第1のスペーサ層514に隣接するエアギャップを生成することができる。
[0048] 第2のスペーサ層の形成の後に、図5Dに示されるように、半導体構造の諸構造を露出させるために、工程415において、除去工程を実行することができる。工程415において実行される後退は、ゲート構造505の側壁を覆う第1のスペーサ層514および第2のスペーサ層516を維持しながら、横方向のフィーチャを露出させるために、異方的に実行されてもよい。1つの例示的な形成方法として、後の工程で、エピタキシャル成長が実行され、フィンの上にソース/ドレイン材料を形成する場合、成長は、フィン503などの任意の露出したシリコン含有材料上に生じ得る。前述のように、ゲート構造505は、ポリシリコンなどのダミーゲート材料を含んでもよい。ソース/ドレイン形成中にポリシリコンが露出していると、ダミーゲート上にも材料が不適切に形成されることがある。したがって、工程415は、ゲート構造505のダミーゲートポリシリコンまたは他のダミーゲート材料の完全な被覆を維持し得る。
[0049] 図示されるように、実行された後退またはエッチングは、キャップ材料507から第1のスペーサ層514および第2のスペーサ層516を除去することができ、ゲート構造505から横方向に、ゲート構造505の側壁に沿った第1のスペーサ層514および第2のスペーサ層516の形成の厚さを越えてからは、フィン503から材料を完全に後退させることができる。例えば、第1のスペーサ層514および第2のスペーサ層516の各々が、約1nm~約3nmであり得、したがって、2つのスペーサ層は、ゲート構造505から横方向に約2nm~約6nm延在し得る。この横方向の被覆は、フィン503を部分的に覆うであろうが、この位置から横方向外側では、フィン503は、図に示されるように、基板の高さまで完全に後退してもよい。さらに、基板501も、ゲート構造505の周りの領域において露出され得る。
[0050] 図から分かるように、後退の後、第2のスペーサ層516は、ゲート構造の側壁に沿った厚さからの横方向への延在がほとんどない、または全くないことによって特徴付けられてもよく、シート状プロファイルまたは平面形状によって特徴付けられてもよい。しかしながら、第1のスペーサ層514は、図示のように、第2のスペーサ層516の厚さ全体の下に、ある量の横方向への延在を含んでもよい。第1のスペーサ層514は、ゲート構造から外側に向かう方向への横方向の延在によって特徴付けることができる。この横方向の延在は、第2のスペーサ層516の厚さと同等または同一であってもよい。その結果、第2のスペーサ層516は、いくつかの実施形態では、第1のスペーサ層514以外の半導体デバイスの任意の他の構造と接触しなくてもよい。したがって、第2のスペーサ層516の除去が、構造内の他の材料を露出させなくてもよい。
[0051] 除去工程415は、前述のチャンバ200内で実行することができ、これにより、例えば、基板上の他の材料のいずれにも影響を与えることなく、または最小限の影響で、第1のスペーサ層514および第2のスペーサ層516を除去することができる、酸化物選択性エッチング、または炭素含有膜に対して選択的なエッチングを実行することができる。このプロセスは、例えばフッ素含有前駆体または塩素含有前駆体などのハロゲン含有前駆体のプラズマ流出物を生成することができるプラズマまたは遠隔プラズマを利用するドライエッチングプロセスを使用して、実行することができる。このプロセスは、実施形態において、水素含有前駆体を利用してもよく、水素含有前駆体は、遠隔プラズマに含まれてもよいし、または遠隔プラズマをバイパスして、処理領域内でラジカルハロゲン含有プラズマ流出物と相互作用してもよい。
[0052] このプロセスは、実施形態では、約10トル未満で実行されてもよく、実施形態では、5トル未満または約5トルで実行されてもよい。プロセスはまた、実施形態では、約100℃未満の温度で実行されてもよく、約50℃未満で実行されてもよい。チャンバ200内で、またはこのチャンバの変形形態で、または同様の工程を実行することができる異なるチャンバ内で実行されるとき、このプロセスは、キャップ層507、フィン503、および基板501に対して選択的に第1のスペーサ層514および第2のスペーサ層516を異方的に除去してもよい。実施形態では、このプロセスは、第1のスペーサ層514および第2のスペーサ層516に関して、100:1より大きい、または約100:1の選択性を有することができ、実施形態では、200:1より大きい、もしくは約200:1、300:1より大きい、もしくは約300:1、400:1より大きい、もしくは約400:1、または500:1より大きい、もしくは約500:1の選択性を有することができる。この選択性のために、また、形成された材料は、前述したように、厚さがほんの数ナノメートルであり得るので、他の全ての露出された材料は、この除去工程の間、実質的に、または本質的に維持され得る。
[0053] いくつかの従来のプロセスは、犠牲層が形成された後にフィンが露出されるエッチングプロセスの後に、フィンの上にソース/ドレイン材料を形成することができるが、従来の技術は、前述の方法400の工程と同様には実行されなくてもよい。この形成は、犠牲層に直接接する、または隣接するソース/ドレイン材料を生成する。したがって、犠牲層の除去中に、ソース/ドレイン材料は、エッチャント材料に直接曝され、これは、犠牲層の外面と接触するソース/ドレイン材料を少なくとも部分的にエッチングするか、または影響を及ぼす。エアギャップが形成され得るが、これらのプロセスは、エアギャップを形成するためのエッチングプロセス中にソース/ドレイン材料を劣化させることによって、デバイス性能に有害な影響を与える。本技術は、第2のスペーサ層516から外側に追加のスペーサ層を生成することによって、これらの問題を克服することができる。
[0054] いくつかの実施形態では、方法400は、図5Eに示すように、工程420において、第3のスペーサ層518を形成することを、任意選択で含むことができる。第3のスペーサ層518は、第1のスペーサ層514および/または第2のスペーサ層516と同様に形成することができ、後退工程415中に露出されたキャップ層507、フィン503、および基板501の露出領域を共形に覆うことができる。第3のスペーサ層518は、第2のスペーサ層516に直接隣接することができ、第1のスペーサ層514の少なくとも一部と直接接触することができる。前述のように、第1のスペーサ層514は、基板およびフィンに沿って、第2のスペーサ層516の厚さなどの第1の距離だけ横方向に延在することができる。第3のスペーサ層518の形成中に、第3のスペーサ層材料は、横方向への延在の第1の距離で、フィン503および基板501の表面に沿った第1のスペーサ層514の横方向延在部の最も外側の表面に沿って、第1のスペーサ層514に直接接触することができる。
[0055] 第3のスペーサ層518は、前述の材料のいずれかとすることができ、いくつかの実施形態では、第3のスペーサ層518は、第1のスペーサ層514と同じ材料とすることができる。したがって、形成されたスペーサは、両側壁表面上ならびに底面に沿って第2のスペーサ層516を完全に囲む第1の材料の側壁を、提供することができる。したがって、ゲート構造ならびに第1のスペーサ層514、第2のスペーサ層516、および第3のスペーサ層518のそれぞれを含むスペーサ構造から横方向外側に形成される任意の続いて形成される材料は、第3のスペーサ層518が、ゲート構造505から外側横方向における外側の側壁に沿って第2のスペーサ層516に直接隣接することができるので、第2のスペーサ層516のいかなる横方向部分または表面とも接触しなくてよい。
[0056] 第3のスペーサ層の形成に続いて、方法400は、図5Fに示すように、工程425において、第3のスペーサ層518を部分的に後退させることを、任意選択で含むことができる。後退工程は、第3のスペーサ層518の横方向外側でフィンの垂直部分を部分的に露出させることができる。第1のスペーサ層514および第2のスペーサ層516と同様に、第3のスペーサ層518は、横方向の厚さを特徴とすることができ、少なくともこの厚さまでフィン503および基板501を覆うことができる。後退はまた、基板501に近接または隣接するフィン503の部分に沿って、ある量の第3のスペーサ材料518を維持することができる。後退工程は、前の後退工程と同様であってもよく、キャップ層507、フィン503、および基板501に対して、同様に選択的であってもよい。
[0057] 第3のスペーサ層518の形成および後退の後に、製造が継続してもよく、任意選択の工程430において、フィン503の部分的に露出した領域の上にソース/ドレイン材料を形成または成長させてもよい。ソース/ドレイン材料は、その上にソース/ドレイン材料が成長するフィンと同様の材料であってもよく、ゲート構造に対して垂直になるように、フィンと同じ方向に形成されてもよい。前述のように、ソース/ドレイン材料は、少なくとも第3のスペーサ層518または他の介在層によって第2のスペーサ層516から横方向にオフセットされてもよく、半導体構造上のいかなる位置でも第2のスペーサ層516と接触しなくてよい。ソース/ドレイン材料は、フィンの上にエピタキシャル成長させることができ、または構造上にシリコン、シリコンゲルマニウム、もしくは他のソース/ドレイン材料を提供するための任意の他の方法で実行されてもよい。次いで、処理は、ダミーゲートを除去した後に金属ゲート構造を作製するために、任意の数の工程を続けてもよい。処理は、任意の既知の方法または開発される方法を含む、任意の数の方法で実行されてもよい。
[0058] スペーサ構造の層の上面を露出させることを含むことができる様々な後続の処理の後、半導体構造は、いくつかの実施形態では、図5Gに示す構造に類似することができる。示されるように、金属ゲート508が、ダミーゲート構造505から置き換わってもよく、ソース/ドレイン材料520が、前述のように露出されたフィン503の上部の周囲に形成されている。さらに、第1のスペーサ層514、第2のスペーサ層516、および第3のスペーサ層518を含むスペーサ構造が、金属ゲート508に隣接して形成されている。前述のように、第1のスペーサ層514は、第2のスペーサ層516の下方に横方向に延在して、第3のスペーサ層518と接触する。その結果、第2のスペーサ層516は、第1のスペーサ層514および第3のスペーサ層518を除いて、構造上のいかなる他の材料にも曝されなくてよい。
[0059] 次いで、工程435において、第2のスペーサ層516が、図5Hに図示されるように、第1のスペーサ層514と第3のスペーサ層518との間にエアギャップを形成するために、スペーサ構造からエッチングされてもよい。選択的エッチングプロセスは、前述のように実行されてもよいが、第2のスペーサ層516の完全な除去を可能にするために、第2のスペーサ層と第1のスペーサ層および第3のスペーサ層との間に選択性を提供するように調整されてもよい。上述のように、第3のスペーサ層518を含むこと、および第2のスペーサ層516を形成する特定のプロセスのために、ソース/ドレイン材料520は、除去プロセス中にエッチャントに曝されなくすることができる。したがって、曝露がないので、ソース/ドレイン材料に対して不完全な選択性を有する、またはソース/ドレイン材料に対して非選択性であるエッチャントまたは前駆体を使用することができる。
[0060] 図示のように、エアギャップは、ソース/ドレイン材料への直接接触から保護されることができ、犠牲の第2のスペーサ層516を除去した後、第1のスペーサ材料514および第3のスペーサ材料518によって両側表面上で画定されることができ、第1のスペーサ材料514によって下から画定されることができる。半導体構造500はまた、コンタクトエッチストップ層522を含んでもよく、これは、例えば、窒化シリコンなどの、先に説明した材料のいずれかであってよく、実施形態では、ゲート構造からの方向においてスペーサ構造の横方向外側に配置された第4のスペーサ層を提供してもよい。しかしながら、第3のスペーサ層518を形成しないで、ソース/ドレイン材料の成長の後にコンタクトエッチストップ層が形成され得る形成プロセスに基づくと、コンタクトエッチストップ層522は、介在層を構成せず、ソース/ドレイン材料は、依然として犠牲の第2のスペーサ層516に直接接触するであろう。その結果、エアギャップの形成中に、ソース/ドレイン材料が、エッチャントと接触し、不完全な選択性による損傷が生じることがある。本技術の実施形態によるスペーサ構造を形成することによって、ソース/ドレイン材料に有害な影響を及ぼさないエアギャップ構造が形成され、したがって、製造されたデバイスにおける寄生容量を減少させることができる低い誘電率を特徴とするスペーサを製造することによって、性能を改善することができる。
[0061] 前述の説明では、説明の目的のために、本技術の様々な実施形態の理解を提供するために、多数の詳細が記載されている。しかしながら、当業者には明らかなように、いくつかの実施形態は、これらの詳細のいくつかがなくても、または追加の詳細とともに、実施することができる。
[0062] いくつかの実施形態を開示したが、当業者であれば、実施形態の精神から逸脱することなく、様々な修正、代替構成、および均等物を使用することができることを理解するであろう。さらに、本技術を不必要に曖昧にすることを避けるために、多くの周知のプロセスおよび要素は記載されていない。したがって、上記の説明は、本技術の範囲を限定するものとして解釈されるべきではない。
[0063] 値の範囲が提供されている場合に、文脈上明らかに別段の指示がない限り、その範囲の上限と下限の間の各値もまた、下限の単位の最小端数まで具体的に開示されていることが理解される。記載された範囲内の任意の記載された値または記載されていない間の値と、その記載された範囲内の任意の他の記載された値または間の値との間の任意のより狭い範囲が包含される。これらのより小さい範囲の上限および下限は、独立して、その範囲に含まれてもよいし、または除外されてもよく、記載された範囲で具体的に除外された上限または下限を前提として、上限および下限のいずれかが含まれる、両方とも含まれない、または、両方とも含まれる各範囲もまた、本技術内に包含される。記載された範囲が限界値の一方または両方を含む場合、これらの含まれる限界値の一方または両方を除外する範囲もまた含まれる。
[0064] 本明細書および添付の特許請求の範囲で使用される場合、単数形「a」、「an」、および「the」は、文脈が別段の明確な指示をしない限り、複数の参照を含む。したがって、例えば、「層」への言及は、複数のそのような層を含み、「前駆体」への言及は、1つ以上の前駆体および当業者に知られているそれらの均等物への言及を含む、等々である。
[0065] また、本明細書および以下の特許請求の範囲で使用される場合、単語「備える(comprise)」、「備えている(comprising)」、「含む(contain)」、「含んでいる(containing)」、「含む(include)」、および「含んでいる(including)」は、記載された特徴、整数、構成要素または工程の存在を明示することが意図されるが、それらは、他の1つ以上の特徴、整数、構成要素、工程、行為または群の存在または追加を排除するものではない。

Claims (12)

  1. 半導体構造内にエアギャップを形成する方法であって、
    第1の材料および前記第1の材料とは異なる第2の材料を含むスペーサ構造を形成することと、
    少なくとも1つの他の材料によって前記スペーサ構造の前記第2の材料からオフセットされたソース/ドレイン構造を形成することと、
    前記スペーサ構造から前記第2の材料をエッチングして、前記エアギャップを形成することと、
    を含み、前記ソース/ドレイン構造が、前記エッチング中にエッチャント材料に曝され
    前記スペーサ構造を形成することが、
    ゲート構造に隣接し、前記第1の材料を含む第1のスペーサ層を形成することであって、前記ゲート構造と交差するフィンを少なくとも部分的に覆う第1のスペーサ層を形成することと、
    前記第1のスペーサ層に隣接し、前記第2の材料を含む第2のスペーサ層を形成することと、
    前記第2のスペーサ層に隣接する第3のスペーサ層を形成することと、
    を含む、方法。
  2. 前記スペーサ構造が、半導体基板上の前記ゲート構造に近接して形成され、前記ソース/ドレイン構造が、前記ゲート構造に垂直に形成される、請求項1に記載の半導体構造内にエアギャップを形成する方法。
  3. 前記ソース/ドレイン構造が、シリコンまたはシリコンゲルマニウムを含む、請求項1に記載の半導体構造内にエアギャップを形成する方法。
  4. 前記第1の材料および前記第2の材料が、酸素または窒素を含む、請求項1に記載の半導体構造内にエアギャップを形成する方法。
  5. 前記第1の材料および前記第2の材料が、窒化シリコン、炭窒化シリコン、酸炭化シリコン、酸炭窒化シリコン、酸化シリコン、金属酸化物、および金属窒化物のうちの1つ以上を含む、請求項4に記載の半導体構造内にエアギャップを形成する方法。
  6. 記第3のスペーサ層が、前記第1のスペーサ層と同じ材料である、請求項に記載の半導体構造内にエアギャップを形成する方法。
  7. 前記第3のスペーサ層を形成する前に、前記第1のスペーサ層および前記第2のスペーサ層をエッチングして、前記ゲート構造と交差する前記フィンを露出させることと、
    前記第3のスペーサ層をエッチングして、前記ゲート構造と交差する前記フィンを部分的に露出させることと、
    をさらに含む、請求項に記載の半導体構造内にエアギャップを形成する方法。
  8. 基板と、
    前記基板の上にあり、前記基板を横切る第1の方向に形成されたゲート構造と、
    前記基板の上にあり、前記基板を横切る第2の方向に形成されたフィンであって、前記第2の方向が前記第1の方向に垂直であり、前記フィンが前記ゲート構造と交差する、フィンと、
    前記ゲート構造に隣接、エアギャップを含む少なくとも3つの層を含むスペーサ構造であって前記ゲート構造から横方向に延在するスペーサ構造と、
    前記スペーサ構造の外部で前記フィンの周囲に形成され、前記スペーサ構造から横方向にオフセットされたソース/ドレイン材料であって、前記スペーサ構造の少なくとも1つの介在層が、前記ソース/ドレイン材料と前記エアギャップとの間に含まれる、ソース/ドレイン材料と、
    を備える半導体構造。
  9. 前記スペーサ構造が、前記ゲート構造に隣接する第1の層であって、前記フィンおよび前記基板上で前記ゲート構造から横方向外側に第1の距離を延在する第1の層を備える、請求項に記載の半導体構造。
  10. 前記スペーサ構造が、前記第1の層から横方向外側の第2の層であって、前記第1の距離で前記第1の層に接触する第2の層を、さらに含み、前記エアギャップが、前記ゲート構造に隣接する前記第1の層の部分と、前記基板の上にある前記第1の層の部分と、前記第2の層との間に少なくとも部分的に画定され、前記第1の層および前記第2の層が、窒化シリコン、炭窒化シリコン、酸炭化シリコン、酸炭窒化シリコン、酸化シリコン、金属酸化物、および金属窒化物からなる群から選択される1つ以上の材料を含む、請求項に記載の半導体構造。
  11. 前記ゲート構造からの方向において前記スペーサ構造の横方向外側に配置されたコンタクトエッチストップ層を、さらに備える、請求項に記載の半導体構造。
  12. 半導体構造を形成する方法であって、
    半導体基板上のゲート構造に近接する第1のスペーサ層であって、前記半導体基板上に形成されたフィンを少なくとも部分的に覆う第1のスペーサ層を形成することと、
    前記第1のスペーサ層に隣接する第2のスペーサ層を形成することと、
    前記フィンの側部領域を完全に垂直に露出させるように、前記第1のスペーサ層および前記第2のスペーサ層を後退させることと、
    前記第1のスペーサ層に隣接する第3のスペーサ層であって、前記半導体基板上に形成された前記フィンを少なくとも部分的に覆う第3のスペーサ層を形成することと、
    前記フィンの前記側部領域を部分的に垂直に露出させるように、前記第3のスペーサ層を後退させることと、
    前記フィンの部分的に垂直に露出された前記側部領域上にソース/ドレイン材料をエピタキシャル成長させることと、
    前記第1のスペーサ層および前記第3のスペーサ層に対して選択的な前記第2のスペーサ層をエッチングして、前記第1のスペーサ層と前記第3のスペーサ層との間にエアギャップを形成することと、
    を含
    前記ソース/ドレイン材料が、前記エッチング中にエッチャント材料に曝されない、方法。
JP2020543022A 2018-02-15 2019-02-15 エアギャップ形成プロセス Active JP7122061B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862631179P 2018-02-15 2018-02-15
US62/631,179 2018-02-15
PCT/US2019/018301 WO2019161265A1 (en) 2018-02-15 2019-02-15 Airgap formation processes

Publications (2)

Publication Number Publication Date
JP2021514539A JP2021514539A (ja) 2021-06-10
JP7122061B2 true JP7122061B2 (ja) 2022-08-19

Family

ID=67541016

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020543022A Active JP7122061B2 (ja) 2018-02-15 2019-02-15 エアギャップ形成プロセス

Country Status (6)

Country Link
US (2) US11211286B2 (ja)
JP (1) JP7122061B2 (ja)
KR (2) KR102571063B1 (ja)
CN (1) CN111712924B (ja)
TW (1) TWI705529B (ja)
WO (1) WO2019161265A1 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI705529B (zh) 2018-02-15 2020-09-21 美商應用材料股份有限公司 空氣間隙形成處理
US10868130B2 (en) * 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10825722B1 (en) * 2019-08-29 2020-11-03 Nanya Technology Corporation Method of manufacturing a semiconductor structure
US11037851B2 (en) 2019-08-30 2021-06-15 Applied Materials, Inc. Nitrogen-rich silicon nitride films for thin film transistors
DE102020123264B4 (de) * 2020-03-30 2022-11-10 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleitervorrichtung und Verfahren zu dessen Herstellung
US11563001B2 (en) 2020-03-30 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer and capping structures in semiconductor devices
CN115621315A (zh) * 2021-07-16 2023-01-17 联华电子股份有限公司 半导体元件及其制作方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011181931A (ja) 2010-03-01 2011-09-15 Taiwan Semiconductor Manufacturing Co Ltd フィン型fetを有する半導体装置およびその製造方法
US20160163816A1 (en) 2014-12-08 2016-06-09 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer
US20160225667A1 (en) 2015-01-29 2016-08-04 Globalfoundries Inc. Semiconductor structure having source/drain gouging immunity
JP2017512383A (ja) 2014-03-10 2017-05-18 クアルコム,インコーポレイテッド 中にギャップが画定されている半導体デバイス

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100868649B1 (ko) 2007-05-17 2008-11-12 주식회사 동부하이텍 반도체 소자 및 그의 제조방법
KR101887414B1 (ko) * 2012-03-20 2018-08-10 삼성전자 주식회사 반도체 장치 및 그 제조 방법
KR102055299B1 (ko) 2013-04-12 2019-12-16 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
KR102131325B1 (ko) 2014-01-06 2020-07-07 삼성전자 주식회사 에어 스페이서를 구비한 반도체 소자
US9252233B2 (en) * 2014-03-12 2016-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Air-gap offset spacer in FinFET structure
KR102146469B1 (ko) * 2014-04-30 2020-08-21 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9716158B1 (en) * 2016-03-21 2017-07-25 International Business Machines Corporation Air gap spacer between contact and gate region
KR20170122930A (ko) 2016-04-28 2017-11-07 삼성전자주식회사 반도체 장치
US9608065B1 (en) * 2016-06-03 2017-03-28 International Business Machines Corporation Air gap spacer for metal gates
US9768118B1 (en) * 2016-09-19 2017-09-19 International Business Machines Corporation Contact having self-aligned air gap spacers
US10395991B2 (en) * 2017-12-04 2019-08-27 United Microelectronics Corp. Semiconductor device and method for fabricating the same
TWI705529B (zh) 2018-02-15 2020-09-21 美商應用材料股份有限公司 空氣間隙形成處理

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011181931A (ja) 2010-03-01 2011-09-15 Taiwan Semiconductor Manufacturing Co Ltd フィン型fetを有する半導体装置およびその製造方法
JP2017512383A (ja) 2014-03-10 2017-05-18 クアルコム,インコーポレイテッド 中にギャップが画定されている半導体デバイス
US20160163816A1 (en) 2014-12-08 2016-06-09 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer
US20160225667A1 (en) 2015-01-29 2016-08-04 Globalfoundries Inc. Semiconductor structure having source/drain gouging immunity

Also Published As

Publication number Publication date
WO2019161265A1 (en) 2019-08-22
CN111712924A (zh) 2020-09-25
TW201941363A (zh) 2019-10-16
KR102571063B1 (ko) 2023-08-24
US20220115263A1 (en) 2022-04-14
KR20200108511A (ko) 2020-09-18
KR102415977B1 (ko) 2022-06-30
JP2021514539A (ja) 2021-06-10
US11735467B2 (en) 2023-08-22
TWI705529B (zh) 2020-09-21
US20190252239A1 (en) 2019-08-15
CN111712924B (zh) 2023-12-29
US11211286B2 (en) 2021-12-28
KR20220100724A (ko) 2022-07-15

Similar Documents

Publication Publication Date Title
JP7122061B2 (ja) エアギャップ形成プロセス
TWI796358B (zh) 選擇性蝕刻的自對準通孔製程
US20180261686A1 (en) Transistor sidewall formation process
US20180261516A1 (en) Replacement contact process
CN111033699B (zh) 改良的金属接触定位结构
TWI775839B (zh) 具有選擇性阻隔層的結構
TWI819802B (zh) 底部隔離之形成
JP7401593B2 (ja) 空隙を形成するためのシステム及び方法
US10170336B1 (en) Methods for anisotropic control of selective silicon removal
US10872778B2 (en) Systems and methods utilizing solid-phase etchants
US11715780B2 (en) High performance and low power semiconductor device
CN111095525B (zh) 选择性蚀刻的自对准过孔工艺
TWI798215B (zh) 選擇性側壁間隔物
TWI782981B (zh) 子鰭片至絕緣體矽之轉換
TW202201760A (zh) 在微電子工件上於三維結構中用於接觸窗的凸墊形成

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201014

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20211029

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211116

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220215

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220705

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220804

R150 Certificate of patent or registration of utility model

Ref document number: 7122061

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150