TW533505B - Process for forming sub-lithographic photoresist features - Google Patents

Process for forming sub-lithographic photoresist features Download PDF

Info

Publication number
TW533505B
TW533505B TW091105984A TW91105984A TW533505B TW 533505 B TW533505 B TW 533505B TW 091105984 A TW091105984 A TW 091105984A TW 91105984 A TW91105984 A TW 91105984A TW 533505 B TW533505 B TW 533505B
Authority
TW
Taiwan
Prior art keywords
pattern
photoresist layer
layer
rate
electron beam
Prior art date
Application number
TW091105984A
Other languages
English (en)
Inventor
Jeffrey A Shields
Uzodinma Okoroanyanwu
Chih-Yuh Yang
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Application granted granted Critical
Publication of TW533505B publication Critical patent/TW533505B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

533505 五、發明說明(1) [相關申請案交互參照] 本申請案與下列中請案有關:由Okoroanyanwu等人申 請,案名為「經由電子束穩定以防止光阻圖案破壞之製作 方法」之美國申請案號0 9 / 8 1 9,6 9 2 (代理人檔號 39153/404(F0943));由 Okoroanyanwu等人申請,案名為 「光阻圖案之掃描式電子顯微鏡(SEM)檢查和分析的改 良」之美國申請案號0 9 / 8 2 0,1 4 3 (代理人檔號 39153/405(F0945));由 Okoroanyanwu等人申請,案名為 「減少積體電路裝置圖案之關鍵尺寸的製造方法」之美國 申請案號0 9/8 1 9,344 (代理人檔號3 9 1 53/40 6 (F1061));由4
Gabriel等人申請,案名為「以選擇性的光阻硬化幫助側 緣修整」之美國申請案號0 9/ 8 1 9, 3 43 (代理人檔號 39153/298(F0785));以及,美國中請案號〇9/819,552(代 理人檔號3 9 1 53/ 3 1 0 (F 0 79 7 )),由Gabriel等人申請,案名 為「改善『超薄』光阻之蝕刻安定度的製造方法」,上述 全部申請案與本案在同一日期申請並且讓渡給本申請案的 受讓人。 [技術領域] 本發明一般而言係關於積體電路(I C )製造。特別是, 本發明是關於具有使用修改過的光阻表面之次平版印刷的 側向尺寸之I C圖案之製造。 [背景技術] 半導體或積體電路(1C)工業之發展目標是製造積體電 路在較小晶片區域,完成越來越高的裝置密度,以獲得較
92083.ptd
五、發明說明(2) 一 就得不斷::的製造成本。#想生產大型積體電路, 動減少結構尺寸、:'與裝置圖案。平版印刷的性能驅 傳導線路之寬度。"b力,例如:場效電晶體之閘極長度和 ^ (retfcfef^AV ^ 成在半導體Β 線射入或者反射離開光罩或光栅以形 呈現於晶圓ΐ之影像。—般而言,影像被投影成圖案 圖幸介:止材料層上,該材料層可為光阻材料。接著, 及/或TrW阻材料用來界定IC之摻雜區、沉積區、蝕刻區 3言、/、他結構。圖案化的光阻材料也界定I c的金屬 二的^線或導塾。#者,圖案化的光阻材料能界定孤立地 區、包晶體閘極,或其他的裝置結構和元件。 Μ —要轉移影像或圖案至光阻材料上,平版印刷系統包含 ^ ^光源以提供一個或者更多個波長的電磁輻射或者光。 違光源可生產波長365奈米(nm)、248奈米,及/或193奈米 之輪射。選擇經由如此輻射圖案化之光阻材料以回應如此 的輪射波長。較佳的是,光阻材料上那些受到入射輻射線 照射的區域,發生光化學變化,以致於後續的顯影製程之 步驟中可溶解或不溶解。 由於I C裝置尺寸持續縮減,有必要界定比利用傳統平 版印刷技術所能製成之更小尺寸。一種藉由將該圖案設定 在下層材料之前,「減少」或者「整修」界定於光阻材料 上的圖案的方法,以獲得次平版印刷裝置尺寸。此方法, 一般當作是一種阻抗修飾或整修過程,利用電漿蝕刻除去
533505 ^〜 五、發明說明(3) 圖案化的# ~" 原來平板:的一部分,以致整修過之圖案的尺寸比 阻抗整修光罩或光栅設定的圖案更小。於習:的 而 、, ^中,電漿繫佈圖案化的光阻姑%L ^ 的 丨圖案化的光阻材料之頂表面面所有表 整修時間增加(亦即,s案側表面均,㈣。 ,刻較長時間)以…側向尺寸(的亦先阻材料暴露於 ^以減少圖案寬度,例如接觸線),圖餘刻側表 f化的光阻材料的厚度夠薄情況下二):::惜的,圖 :度不夠而無法在執行後續製程存活:;:剩;2阻材料 程轉移該圖案給在下層。 例如.經由蝕刻製 要克服光阻材料變薄問題,可用 雖然較厚之光阻材料克服在整修過程中發生且料層。 但較厚之光阻材料卻易發生設定圖 二且几銷薄’ 轉移不完全。《案的解析度是部分地和又用^曝或^定圖案 或平版印刷所使用電磁波的波長成反比,磁波 射較短波長的電磁波(例如:157nm、126n=、F材枓適宜照 13· 4nm)。目前尚無特別適合於 雷 阻”。習知之光阻材料反而使用 193㈣波長對於較短波長單位厚度之這些較長或 材料顯不高的光吸收。因此,這些 & /又光阻 波長越短而不透明度越增加,導致不‘在:::: =著 厚度範圍均發生必要的光化學變化。 全部 越增加,在光阻材料之全部^ ^ R ^ f先阻材枓的厚度 王邛厗度乾圍越容易發生設定圖案 92083.ptd 第8頁 533505 五、發明說明(4) 移轉不完全。 或者,縱使設定圖案已經完全轉移,整修時間延長 (於圖案化的光阻材料上獲得非常細的圖案)可能引致設定 圖案毁壞,例如:設定圖案陷縮、設定圖案彎曲、或設定 圖案裂開。由於特定圖案之變形程度為圖案的縱橫比(亦 即,圖案的高度與寬度的比率)之函數關係,因此較厚的 光阻材料將較容易造成所設定之圖案變形。因此,由於考 慮垂直向的消耗,用習知之厚度之光阻材料使侧向整修較 少。換句話說,由於電漿蝕刻使設定的圖案變窄時,也同 時使光阻材料變細,在得到最大側向減小之前,該整修製 程必須停止,以確保有厚度足夠的圖案化的光阻材料層留 用於後續製程(例如,設定圖案轉移給在下層之蝕刻製 程,例如:形成多晶矽開)。在另一方面,由於考慮設定圖 案之轉移不完全及/或設定圖案毁壞’開始即以較厚之光 阻材料層使整修時間延長也是有問題的。 ,因此,需要使習知的光阻整修過程發揮它最大的潛能 ^製程。亦需要在圖案化之先阻材料上使側向整修不致伴 隨發生光阻材料之設定圖案變形、設定圖案移轉不完全, 或者垂直厚度不足因應後續的平版印刷製程之製程。再 者’還需要用以形成次平版印刷的光阻圖案的製程,其不 :對於習知的平版印刷技術、工*、材料或裝備或者明顯 的生產量降低做大幅修疋。 [發明揭示] 一實施範例是關於整修在光阻層上圖案之方法。該光
92083.ptd
533505 五、發明說明(5) 阻層置於基板上,並且該圖案包含頂部和側向表面。該方 法包含修改在圖案化的光阻層的頂部以形成修改過的頂 部。該方法復包含整修設定在該光阻層上之圖案以形成修 整過的圖案。該圖案有垂直向的修整速率和側向的修整速 率。由於該修改過的頂部,垂直向的修整速率比側向的修 整速率慢。 另外一個實施範例是關於積體電路的製作製程。該製 程包含顯影圖案化之光阻材料層,以及修改該圖案化光阻 層以形成至少一圖案的頂部和底部。圖案化的光阻層至少 包含一圖案。頂部具有頂部钱刻速率,而底部具有底部# 刻速率。頂部餘刻速率不同於底部姓刻速率。該製程復包 含蝕刻該圖案化的光阻層以改變至少一圖案以具有次平版 印刷尺寸的側向尺寸和足夠的垂直厚度以維持圖案之完 整。 還有另一個實施範例是關於具有次平版印刷尺寸之圖 案的積體電路。該圖案經過之處理製程包括:形成設置在 基板上之光阻層上之圖案;顯影設定在該光阻層上之圖 案;以及至少修改該光阻層的一部份。該圖案係按照用於 平版印刷的波長輻射,以及設在光罩或者光栅上之圖案, 來設定該圖案。改變設定在光阻層上之圖案的頂部蝕刻速 率,其與設定在光阻層上之圖案之底部蝕刻速率不同。該 製程復包含:整修設定在光阻層上之圖案至次平版印刷的 尺寸;以及轉移該已整修之設於光阻層上之圖案至基板。 於基板中之圖案具有次平版印刷尺寸。
92083.ptd 第10頁 533505
五、發明說明(6) [發明之實施模式] 參考第1圖,其顯示晶圓1 3於平版印刷系統丨〇之中。 平版印刷系統10包含腔室50 ;光源22 ;聚焦鏡組24 ;光罩 Τι8:物鏡組26 ;以及平台11。設計平版印刷系統1〇 以轉移§又在光罩或者光栅18上之圖案或影像到晶圓丨3。平 版印刷系統1 0可以是平版印刷的攝影機或步進控制器單 譬:“平丨:印刷系統10可以是由ASML所製:之二s 器、…集團(SUiC〇n Valley G⑽P) I成的微知描(microscan)DUV系統,或者由韓國積體解答 (Integrated Solutions)公司製成的 宕 、 w⑽llth〇graphy)系統。w成的似豕庭微平版印刷 晶圓13包含基板12 ;層14 ;和光阻層16。光阻層“放 置在層14之上,而層14放置在基板12之上。晶圓丨3| 部的積體電路(1C)晶圓或部份的Ic晶圓。晶 f二 -部份,例如:記憶體、處理單位 ® 3了為1⑽ Α板12可Α束墓舻Α抝 1 輸入/輪出裝置等。 基板1 2 T為丰V體基板,如矽、砷化鎵、 板材料。基板12能包含一層或者更多 社或,、他的基 ㈢〆又項*文夕層材料及/戎 , 例如線路、交互連絡線、通道、摻雜區等,:谁、一 •極體等。 或由其他材料用 微感應器(roicr〇sens〇rs)、電容ΐ :(電m3a、ct-〇rs)、 層1 4可為絕緣層、傳導層、障礙層 為 於触刻、摻雜、或者堆疊的層。於一 ^ 含一層或者更多層材料,例如:多晶 貝化例中,層1 4能包 石夕堆叠包含複數個交 替的有機或無機抗反射的鍍層(ARC)於摻雜或者未摻雜多
Η 92083.ptd
第11頁 533505 五、發明說明(7) 二矽之亡。於另外一個實施例中,層1 4是硬質的光罩層, 歹,如·氮化矽層或金屬層。這硬質的光罩層能當作圖案層 =用於處理基板12,或者用於處理在基板12上的層。又在 一個實施例中,層14是抗反射的鍍層(ARC)。基板12 二曰14的材質可不受限制,並能各自包含導電的、半導電 的、或絕緣性的材料。
光阻層1 6能包含各種適合於平版印刷應用的光阻化學 二、。依照從光源2 2放出之電磁輻射,選擇有光化學反應 2為光阻層16。在其他材料之中,光阻層16可包含矩陣 二或樹脂、感光劑或者抗化劑以及溶劑。光阻層丨6較佳 $為化學方式增強、正調或負調、以及有機基底的光阻。 ^如,光阻層16可包含φδι1ιηΗ〇ιη〇化學的公司所製成的 R 7 0 〇光阻。譬如經由旋轉塗佈,使光阻層丨6沉積在層1 4 上。設置光阻層16之厚度少於 平版印刷系統10的腔室5〇可為真空或低壓腔室,為使 :於真空紫’線(vuV)平版印刷術。腔室5〇能包含多種、、、空 =,例如·虱氣等。或者,平版印刷系統丨0能夠用於多樣 /、他類型之平版印刷術,包括使用任何波長電磁輻平 版印刷術。 卞 、光源22提供光或者電磁輻射以經過聚焦鏡組24、 或者光栅18、與物鏡組合26到光阻層16。光源22是準分 雷射,於一實施例中,具有365nm、248nm、193⑽、 157㈣的波長。或者,光源22可為各種其他光來源,能^者 放出輻射之波長屬紫外線(ϋν)、真空紫外線(vuv)、深紫
533505 五、發明說明(8) 外線(DUV) ’或極端紫外線(Εϋν)範圍。 鏡組24及26包含鏡頭、反射鏡、準 /或其他光學的变器、分光器、及 即,從光二V輻射 適當地聚焦並導引轄射圖案(亦 攸九原U之‘射,經由提供在光罩 案或影像修正)到光阻層16之上。 ^者先栅18上之圖 可相對於鏡組26移動晶圓13。千^11支持晶圓13,並 於一實施例中,光罩或光柵丨8是二進 或光柵18上面包含半透明基板21(例 的先罩。J罩 透明的或者設立圖案的層20(例如,鉻或者和不 透明的層20提供適當的電路或裝置之圖案或者氧影化像幻以: 影在光阻層16之上。或者,光罩或夬输18叮 e ^ 又 ^ ^ 九罩或九栅1 8可以是衰減相 移位光罩、替代相位移位光罩、或者其他類型的 光栅。 早4首 利用平版印刷系統1 〇,使光罩或者光栅丨8上之該圖案 或影像’在光阻層1 6上形成圖案。設定圖案的光阻層丨β顯 影之後,但在此圖案移轉到任何下層(例如層片1 4)之前, 執行電子束曝露(electron beam exposure)步驟,如第2
圖所示。應了解從腔室5 0移除晶圓1 3然後置放在不同腔室 内,及/或置放在一個有工具之不同環境内,例如:大量 電子束源(未顯示),用於該電子束曝露步驟。 於電子束曝露步驟中,同時也參照如電子束固化步驟 或者阻抗固化步驟,晶圓13大量暴露於電子束52。於第2 圖所示晶圓1 3的橫斷面視圖,並且特別是在層1 6上之線圖 案5 4,顯示正在進行中之固化過程。於一個實施例中,線
533505 五、發明說明 圖案54具有最初的或者稱為側向尺寸56,對於i93nm平版 印刷系統10而言,該尺寸約有15〇nm。 旦電子束5 2較佳地從擴充區電子源(未顯示)射出,並且 大蓋暴露於全部晶圓13之上之均勻準直光束。這擴充區電 子源是冷陰極型,並由帶有能量的離子撞擊產生電子束 由電子視覺公司(Electron Vision Corporation)所 製成的擴充區電子源的例子,適用於產生電子束52。 八當能量充足的電子束52射入接觸包含層16的聚合物之 刀子、’這些分子發生化學反應,亦即交叉結合,使該化學 ^ 2達到完全分解相關的聚合物材料的機能群的程度。已 完全分解的線圖案54的部份以斜線顯示,並且包含頂部 58(苐2圖)。電子束52不能貫穿或轟擊之線圖案54的部 位’亦即底部6 0,保留未受影響(亦即,這聚合物的機能 群於底部60未進行交叉結合到發生完全分解的地步)。底 部6 0直接設置在頂部5 8之下。 頂部5 8之電氣性質、光學性質和材料物性均與底部6 〇 不同。聚合材料之機能群的完全分解,導致電氣的和光學 的性質不同於頂部5 8,相較於底部6 〇,頂部5 8的密度增 加’但孔隙度減少。固化過的頂部5 8比未固化過的底部6 〇 之#刻阻抗大(亦即侵餘或姓刻速率較低)。因此,於阻抗 整修步驟中(第3圖至第4圖)隨著電子束曝露或固化步驟 (第2圖)’層1 6上圖案之較大量的側向整修(例如線圖案 5 4 ),將不會如習知做法使層1 6惡化地變薄。 於第3圖中,顯示於阻抗整修步驟期間,晶圓丨3的一
92083.ptd 第14頁 533505
部份的橫斷面視圖。該阻抗整修步驟較佳 驟。晶,露於電漿*刻液62,以整修或者減少 上所3又,圖案的尺寸。電漿蝕刻液62能包含各種 刻化學藥劑,例如:氧〇2、漠化氫/氧HBr/〇2、或氣 2/02。於-實施例中,晶圓13是與第2圖的電 處於不同的處理環境中(例如,不同腔室中)。各種 蝕刻系統,例如:那些由加利福尼亞州之聖他克來若铩羊 (Santa Clara)的應用材料公司(AppUed 加利祸尼亞州之佛孟特之蘭姆研究([Μ Fremont)製造,可以用來提供電漿蝕刻液62。 電漿蝕刻液62蝕刻全部層16上暴露的表面,包括頂端 與侧表面。然而,因為層16的不同部份在電子束固化步驟 之後有不同的蝕刻速率(例如,頂部58相對於底部6〇),所 有層16的表面尺寸的減少將不相同。如第3圖所示,線圖 案5 4的垂直向減量少於側向的減量。尤其是,頂部$ 8垂直 向整修速率比底部60的側向更慢,暫時地使線圖案54呈現 T」开> 的圖案。於第3圖之該條虛線代表在阻抗整修步驟 開始前線圖案5 4的外形。
較佳的是,選定頂部5 8的厚度,以致隨著底部6 0之側 向正修το成至特定數量的同時,所有的頂部& 8將大致被消 耗或者#刻掉。頂部58的厚度由電子束52的穿透進入層16 的冰度決定。經由改變能量、加速電壓、或者電子束52之 電流、及/或電子束固化步驟中處理氣體或晶圓之溫度, 可控制電子束52進入層16的穿透深度,或者換句話說,可
92083.ptd 第15頁 533505 、發明說明(11) 2擇頂部58的厚度。頂部58的厚度是電子束52之加速電壓 的函數,關係可表示如下: D 0-046 VaL75 Hg == -- d 其中Rg是以微米為單位之穿透深度,Va是以keV為單位之 加速電壓或者能量,以及d是目標材料(例如,層16)的密 度,密度以g/cm3為單位。 山 腐#或者钱刻層16之固化部份的速率,係由電子束52 的劑量決定。當層16已遭電子束52以劑量1〇〇〇//C/cm^ ψ 化,蝕刻層1 6的已固化部份(例如,頂部5 8 )使用多晶矽蝕 刻或者氧化物電漿化學的蝕刻速率,大約比層丨6的未固化 或未處理部份(例如底部6〇)更慢35至50%。典型的多晶石夕 姓刻包含使用溴化氫/氧化氯HBr/Cl 20 2或溴化氳/氧HBr/〇2 钱刻化學藥劑。典型的氧化物蝕刻包含使用以含氟為主的 餘刻化學藥劑,例如:氟化碳/氬/氧C4F3/Ar/02。 若劑量大約大於2 0 0 0 // C/cm2,蝕刻速率之減少飽 和。於第6圖中,顯示以不同的電子束劑量固化之pAR7〇〇 光阻的蝕刻速率。於第6圖中所示之PAR70 0光阻設置於石夕 基板上。每個繪線1 〇 〇、1 〇 2、1 〇 4和1 0 6所顯示之蝕刻速 率,與電子束劑量大小成函數關係。繪線1 〇 〇代表當 PAR7 0 0光阻暴露於溴化氫/氧HBr/02蝕刻化學藥劑時的餘 刻速率。繪線1 0 2代表當PAR 7 0 0光阻暴露於溴化氫/氯/氧 化氦HBr/Cl/HeO2蝕刻化學藥劑時的蝕刻速率。繪線ι〇4代
92083.ptd 第16頁 533505 五、發明說明(12) 表當PAR700光阻暴露於溴化氫/氧化氦HBr/He02蝕刻化學 藥劑時的蝕刻速率。繪線1 0 6代表當par 7 0 0光阻暴露於氟 化碳/氬/氧C 4F 8/ A r / 0 2蝕刻化學藥劑時的蝕刻速率。於一 實施例中之蝕刻化學參數如下: 1·溴化氫/氧HBr/02蝕刻化學劑(繪線1〇〇) : l5mT,源 /偏壓·· 1 0 0 / 2 0 W,蝕刻化學劑含量比率:溴化氫/氧 HBr/02=l 5/25 seem 〇 2·溴化氫/氯/氧化氦HBr/Cl2/He02蝕刻化學劑(繪線 102) : 2 0 mT,源/偏壓:2 0 0 / 1 〇 〇 W,蝕刻化學劑含量比 率:溴化氫/氯/氧化氦 HBr/Cl2/He02= 1 5 0 / 3 0 / 1 5sccm。 3 ·溴化氫/氧化氦Η B r / H e 0 2钱刻化學劑(繪線1 〇 4 ) ·· 6 0mT,源/偏壓:2 0 0/ 9 0 W,蝕刻化學劑含量比率:溴化 氫 / 氧化氦 / 氦 HBr/He02/ He = 200/10/100sccm。 4_氟化碳/氬/氧(:/8/人1*/02蝕刻化學劑(繪線106)·· 6 0mT,1 7 0 0 W,蝕刻化學劑含量比率:氟化碳/氬/氧C4F8 /Ar/〇2=7/500/2sccm 〇 於第4圖中,顯示於完成阻抗整修步驟(第3圖)後之晶 圓1 3局部橫斷面視圖。現在線圖案5 4包含已經側向整修的 底部6 0,以及經由電漿蝕刻液6 2蝕刻而完全消失之頂部 58。在電漿蝕刻整修之後,線圖案54有側向尺寸64和垂直 厚度6 6。譬如,假如最初的或者號稱的侧向尺寸5 6是 150nm,而整修後之側向尺寸64可接近70nm或者更薄,並 且垂直厚度66可於1〇〇〇至6000A範圍内。 習知地,對於厚度193nm而沒有電子束固化之平版印
92083.ptd 第17頁 533505 五、發明說明(13) 刷圖案,這些圖案具有號稱大約1 5 0 nm之側向尺寸,沒有 於後續製程(例如蝕刻製程)分解這些圖案,該尺寸不能整 修到少於大約1 1 〇 n m,(原因也就是層片1 6的剩餘厚度不 足)。相對的,經由修改層1 6的頂表面,以於阻抗整修步 驟期間減緩垂直阻抗厚度損失,在層1 6上所得的設定圖案 可獲得整修過的側向尺寸,類似於那些以習知的阻抗整修 製程所獲得之側向尺寸,但剩餘更多阻抗厚度。或者,在 層1 6上所得的設定圖案,可包含類似於那些習知地製得的 阻抗厚度,但側向尺寸變窄。剩餘有較厚的阻抗,將使經 過如此整修過的圖案保全於後續製程,確實設定圖案於下. 層上的或然率增加。 於第5圖中,該於第4圖所示已整修過的線圖案54,在 層14之上經由蝕刻的步驟建構出圖案。線圖案54的垂直厚 度6 6足夠可於後續的平版印刷的製程,例如:用於設定圖 案6 8至層1 4之蝕刻的步驟,使線圖案5 4的外形保全(侧向 尺寸減小)。圖案68與線圖案54的外形類似,並且圖案 之側向尺寸類似於整修過的側向尺寸64。圖案68可以是, 但並非限制至導線、電晶體閘極或絕緣線等。
以此方式,習知的光阻材料、習知的光阻厚度及/或 習知的阻抗整修製程,用於形成積體電路(j c )圖案,具有 明顯的次平版印刷的尺寸,於圖案轉移給在下層情況, 卻不擔心設定圖案變形或者毁壞。此外,在因阻抗厚度不 足可能導致毀滅圖案完整性發生之前,可進行 抗整修過程(例如,整修時間延長)。以電子束固化已顯影
533505 五、發明說明(14) 之光阻層1 6,按照對於光阻層1 6表面之所需修正選擇有特 定束線特徵之電子束,圖案尺寸大約可減為光罩或者光柵 18所提供尺寸之一半。 可預期層1 6的表面,於阻抗整修步驟期間,經由其他 各種處理方法,可經過修正而減緩垂直阻抗厚度損失。譬 如,層1 6在設定圖案並顯影之後暴露於紫外線(UV ),但在 被另一波長光線整修之前,該層1 6之構成材料是不透明 的。於另外的例子中,層1 6可用非同向性電漿固化,例 如:氮氣、氫氣、氬氣,或者不同的含氟、氯或溴之混合 氣體。在其他的例子中,層16在暴露於顯影液之前可用化 _ 學方式處理,或層16可暴露於氮氣、硼、磷、砷等之低能 量植入。 應知當舉出較佳的實施例和一些特例,都是為著要說 明,但不限於文中所述之詳確内容。在不悖離本發明之申 請專利範圍的主旨與範圍,可以作不同之詳細的修正。 參
92083.ptd 第19頁 533505 圖式簡單說明 [圖式之簡單說明] 這些實施範例將藉著以下的詳細說明及所附圖示而更 加透徹了解,其中類似參考數字標示類似元件: 第1圖是係按照實施範例之用於圖案化晶圓之平版印 刷系統之一般示意方塊圖; 第2圖是於第1圖中之晶圓的示意橫斷面圖,顯示電子 束固化步驟; 第3圖是於第2圖中之晶圓的示意橫斷面圖,顯示部份 阻抗整修步驟; 第4圖是於第3圖中之晶圓的示意橫斷面圖,顯示完整 的阻抗整修步驟; 第5圖是於第4圖中之晶圓的示意橫斷面圖,顯示蝕刻 步驟;以及 第6圖是顯示用於光阻材料的相對蝕刻速率,對於不 同之蝕刻化學藥劑,光阻材料以不同的電子束劑量固化。 [符號說明] 10 平 版 印 刷 系統 11 平 台 12 基 板 13 晶 圓 14、 20 層 16 光 阻 層 18 光 罩 或 光 栅 21 半 透 明 基板 22 光 源 24 聚 焦 鏡 組 26 物 鏡 組 50 腔 室 52 電 子 束 54 線 圖 案 56 侧 向 尺 寸 58 頂 部
92083.ptd 第20頁 533505
92083.ptd 第21頁

Claims (1)

  1. 533505 六、申請專利範圍
    一種整修圖案(54)的方法,該圖案建構在光阻層(16) 之上,該光阻層(16)設置在基板(12)上,而真該圖案 (5 4)包括頂部(5 8 )和側面,該方法包含步驟: 修改在該光阻層(16)上之該圖案(54)之該頂部 (5 8 )以形成修改過的頂部;以及 整修在該光阻層(16)上之該圖案(54)以形成修改 過的圖案(5 4 ),其中垂直向的修整速率和側向的修整 速率與該圖案有關並且由於該修改過的頂部該垂直向 的修整速率比該側向的修整速率慢。
    如申請專利範圍第1項之方法,該垂直向的修整速率為 電子束(5 2 )的劑量之函數,以及該修改過的頂部(5 8 ) 之垂直厚度為電流或者該電子束的加速電壓(52)之函 數。 ' 3·如申請專利範圍第1項之方法,其中之該整修圖案(54) 具有次平版印刷的側向尺寸(6 4)。 4· 一種積體電路之製造方法,該方法包含: 使圖案化之光阻層(1 6 )顯影,該圖案化的光阻層 (16)包括至少一圖案(54); 修改該圖案化的光阻層(1 6 )以形成該至少一圖案 (54)之頂部(58)和底部(60),該頂部(58)具有頂部蚀 刻速率並且該底部(60)具有底部蝕刻速率,而特徵在 於該頂部蝕刻速率與該底部蝕刻速率相異;以及 钱刻該圖案化的光阻層(16)以改變該至少一圖案 (54)以具有次平版印刷的側向尺寸(64)和足夠的垂直
    533505
    厚度來維持圖案之完整。 5·如申請專利範圍第1項或第4項之方法,其中之該修改 V驟包含父叉連結該頂部(5 8 )至程度為包含該頂部 (5 8 )之材料之官能團被分解。 6.如申明專利範圍第1項或第4項之方法,其中之該修改 步驟包含使光阻層(1β)大量曝露於電子束(52)。 7 _如申請專利範圍第4項之方法,其中之該蝕刻該圖案化 的光阻層(1 6 )之步驟包含消耗該頂部(5 8 )和側向地蝕 刻該底部(6 0 )。 8_如申請專利範圍第4項之方法,復包含選擇電子束(52) ‘ 之參數,以設定該頂部钱刻速率和該頂部(5 8 )的厚度 至少其中之一。 9· 一種積體電路,具有次平版印刷尺寸(69)之圖案 (54),形成該圖案(54)的製法特徵為: 對設於基板(12)之光阻層(16)設定該圖案(54), 該圖案(5 4 )係依據用於平版印刷的波長輻射和設於光 罩或者光栅(18)之圖案; 使設定在該光阻層(1 6 )上之該圖案(5 4 )顯影; 至少改變該光阻層(1 6)的部份,其特徵更在於改 變設定在該光阻層(16)上之該圖案(54)之頂部(58), · 以具有触刻速率不同於設定在該光阻層(16)上之該圖 案(54)之底部(60)之蝕刻速率;整修設定在該光阻^ (16)上之該圖案(54)至次平版印刷尺寸(64);以及曰 轉移設定在該光阻層U6)上之該整修圖案(54)至
    _ 533505 六、申請專利範圍 基板(14),其特徵更在於在該基板(14)上之該圖案 (6 8 )具有次平版印刷尺寸。 1 0,如申請專利範圍第9項之積體電路,其中之該修改步驟 包含以電子束(52)固化(curing)該光阻層(16)以形成 該頂部(5 8 )。
    92083.ptd 第24頁
TW091105984A 2001-03-28 2002-03-27 Process for forming sub-lithographic photoresist features TW533505B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/819,342 US6630288B2 (en) 2001-03-28 2001-03-28 Process for forming sub-lithographic photoresist features by modification of the photoresist surface

Publications (1)

Publication Number Publication Date
TW533505B true TW533505B (en) 2003-05-21

Family

ID=25227873

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091105984A TW533505B (en) 2001-03-28 2002-03-27 Process for forming sub-lithographic photoresist features

Country Status (7)

Country Link
US (1) US6630288B2 (zh)
EP (1) EP1374287A2 (zh)
JP (1) JP2004530922A (zh)
KR (1) KR100836948B1 (zh)
CN (1) CN1333436C (zh)
TW (1) TW533505B (zh)
WO (1) WO2002080239A2 (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6869899B2 (en) * 2001-07-12 2005-03-22 International Business Machines Corporation Lateral-only photoresist trimming for sub-80 nm gate stack
KR100390918B1 (ko) * 2001-08-30 2003-07-12 주식회사 하이닉스반도체 반도체 메모리 소자의 제조방법
US6923920B2 (en) * 2002-08-14 2005-08-02 Lam Research Corporation Method and compositions for hardening photoresist in etching processes
US20040038537A1 (en) * 2002-08-20 2004-02-26 Wei Liu Method of preventing or suppressing sidewall buckling of mask structures used to etch feature sizes smaller than 50nm
US6867063B1 (en) * 2002-09-30 2005-03-15 Advanced Micro Devices, Inc. Organic spin-on anti-reflective coating over inorganic anti-reflective coating
JP2006513576A (ja) * 2003-01-22 2006-04-20 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 改良された浮遊ゲート絶縁と浮遊ゲートの製造方法
US6962878B2 (en) * 2003-04-17 2005-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method to reduce photoresist mask line dimensions
KR100539962B1 (ko) * 2003-07-03 2005-12-28 매그나칩 반도체 유한회사 포토레지스트 트리밍 공정을 이용한 반도체 소자의 제조방법
US7157377B2 (en) * 2004-02-13 2007-01-02 Freescale Semiconductor, Inc. Method of making a semiconductor device using treated photoresist
US7294440B2 (en) * 2004-07-23 2007-11-13 International Business Machines Corporation Method to selectively correct critical dimension errors in the semiconductor industry
US7384726B2 (en) * 2005-01-25 2008-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Resist collapse prevention using immersed hardening
JP2007081383A (ja) 2005-08-15 2007-03-29 Fujitsu Ltd 微細構造の製造方法
US7592247B2 (en) * 2006-10-04 2009-09-22 International Business Machines Corporation Sub-lithographic local interconnects, and methods for forming same
US20080138986A1 (en) * 2006-12-06 2008-06-12 International Business Machines Corporation Mask layer trim method using charged particle beam exposure
CN101593685B (zh) * 2008-05-29 2011-05-04 中芯国际集成电路制造(北京)有限公司 栅极形成方法
US8298958B2 (en) 2008-07-17 2012-10-30 Lam Research Corporation Organic line width roughness with H2 plasma treatment
US8062953B2 (en) * 2008-07-30 2011-11-22 Freescale Semiconductor, Inc. Semiconductor devices with extended active regions
WO2010051546A2 (en) 2008-10-31 2010-05-06 Fei Company Measurement and endpointing of sample thickness
KR101662702B1 (ko) * 2009-12-31 2016-10-06 삼성전자 주식회사 반도체 소자의 제조 방법
US8975189B2 (en) * 2012-09-14 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming fine patterns
TW201441345A (zh) * 2013-04-16 2014-11-01 Polychem Uv Eb Internat Corp 一種含有強氧化物前驅物的水性蝕刻劑組成及其構造與導電線路圖案化製程
JP2015115524A (ja) * 2013-12-13 2015-06-22 大日本印刷株式会社 インプリントモールドの製造方法
US9953802B2 (en) 2014-01-21 2018-04-24 Ramot At Tel-Aviv University Ltd. Method and device for manipulating particle beam
CN105652590A (zh) * 2014-12-04 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 压印模板的制作方法
US11131919B2 (en) * 2018-06-22 2021-09-28 International Business Machines Corporation Extreme ultraviolet (EUV) mask stack processing
CN111769037B (zh) * 2020-05-29 2021-10-29 长江存储科技有限责任公司 用于半导体结构的刻蚀方法及3d存储器件的制造方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3997367A (en) 1975-11-20 1976-12-14 Bell Telephone Laboratories, Incorporated Method for making transistors
US5003178A (en) 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
JPH02252233A (ja) * 1989-03-27 1990-10-11 Matsushita Electric Ind Co Ltd 微細パターン形成方法
EP0394597A1 (en) * 1989-04-28 1990-10-31 International Business Machines Corporation Follow-up System for Monitoring the Etching Process in an RIE Equipment and its Application to Producing High-resolution and Reproducible Patterns
US5468595A (en) 1993-01-29 1995-11-21 Electron Vision Corporation Method for three-dimensional control of solubility properties of resist layers
JPH06244156A (ja) * 1993-02-15 1994-09-02 Nippon Telegr & Teleph Corp <Ntt> パタ―ン形成法
JPH0845906A (ja) * 1994-07-28 1996-02-16 Sony Corp パターン形成方法及び該パターン形成方法を用いた半導体装置の製造方法
US5658469A (en) * 1995-12-11 1997-08-19 Quantum Peripherals Colorado, Inc. Method for forming re-entrant photoresist lift-off profile for thin film device processing and a thin film device made thereby
TW451355B (en) 1996-09-10 2001-08-21 United Microelectronics Corp Method for increasing the etching selectivity
US6232048B1 (en) 1996-12-31 2001-05-15 Advanced Micro Devices Method for preparing narrow photoresist lines
US5876903A (en) 1996-12-31 1999-03-02 Advanced Micro Devices Virtual hard mask for etching
US5965461A (en) * 1997-08-01 1999-10-12 Advanced Micro Devices, Inc. Controlled linewidth reduction during gate pattern formation using a spin-on barc
US6107172A (en) * 1997-08-01 2000-08-22 Advanced Micro Devices, Inc. Controlled linewidth reduction during gate pattern formation using an SiON BARC
US5962195A (en) * 1997-09-10 1999-10-05 Vanguard International Semiconductor Corporation Method for controlling linewidth by etching bottom anti-reflective coating
JPH1197328A (ja) 1997-09-17 1999-04-09 Toshiba Corp レジストパターンの形成方法
US6103457A (en) 1998-05-28 2000-08-15 Philips Electronics North America Corp. Method for reducing faceting on a photoresist layer during an etch process
JP2000181082A (ja) * 1998-12-10 2000-06-30 Sony Corp 半導体装置の製造方法
US6110837A (en) 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6319655B1 (en) * 1999-06-11 2001-11-20 Electron Vision Corporation Modification of 193 nm sensitive photoresist materials by electron beam exposure
US6197687B1 (en) 1999-09-13 2001-03-06 Advanced Micro Devices, Inc. Method of patterning field dielectric regions in a semiconductor device
US6174818B1 (en) * 1999-11-19 2001-01-16 Taiwan Semiconductor Manufacturing Company Method of patterning narrow gate electrode
US6358670B1 (en) * 1999-12-28 2002-03-19 Electron Vision Corporation Enhancement of photoresist plasma etch resistance via electron beam surface cure
KR100463237B1 (ko) * 2000-06-28 2004-12-23 주식회사 하이닉스반도체 감광막패턴의 형성 방법
JP3406302B2 (ja) * 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ 微細パターンの形成方法、半導体装置の製造方法および半導体装置
JP2002231608A (ja) * 2001-02-02 2002-08-16 Hitachi Ltd 半導体装置の製造方法

Also Published As

Publication number Publication date
KR100836948B1 (ko) 2008-06-11
US20020160320A1 (en) 2002-10-31
CN1494732A (zh) 2004-05-05
EP1374287A2 (en) 2004-01-02
WO2002080239A3 (en) 2002-12-12
KR20040021596A (ko) 2004-03-10
CN1333436C (zh) 2007-08-22
US6630288B2 (en) 2003-10-07
WO2002080239A2 (en) 2002-10-10
JP2004530922A (ja) 2004-10-07

Similar Documents

Publication Publication Date Title
TW533505B (en) Process for forming sub-lithographic photoresist features
US6716571B2 (en) Selective photoresist hardening to facilitate lateral trimming
US6653231B2 (en) Process for reducing the critical dimensions of integrated circuit device features
US8293430B2 (en) Method for etching a molybdenum layer suitable for photomask fabrication
JP4420592B2 (ja) 半導体素子の微細パターン形成方法
US20080292991A1 (en) High fidelity multiple resist patterning
CN1881078B (zh) 形成抗蚀刻保护层的方法
KR100811431B1 (ko) 반도체 소자의 제조 방법
US9466502B2 (en) Line width roughness improvement with noble gas plasma
US20060166106A1 (en) Method for photomask plasma etching using a protected mask
EP0123560B1 (en) Method for forming flattened film
US7851136B2 (en) Stabilization of deep ultraviolet photoresist
TW505976B (en) Method for forming micro-pattern of semiconductor device
US6815359B2 (en) Process for improving the etch stability of ultra-thin photoresist
JP2003133295A (ja) フォトレジストパターンをマスクに利用するエッチング方法
JP2001358061A (ja) 半導体装置の製造方法
KR20090011933A (ko) 반도체 소자의 제조방법
US6709986B2 (en) Method for manufacturing semiconductor memory device by using photoresist pattern exposed with ArF laser beam
TWI333239B (en) Method of forming contact hole
KR20020043961A (ko) 반도체소자의 미세패턴 제조방법
KR100853461B1 (ko) 아르곤플로라이드 광원을 이용한 반도체 소자의 패턴형성방법
KR20030037213A (ko) 감광막 패턴 형성 방법 및 그를 이용한 반도체 소자 제조방법
KR20030049900A (ko) 불화아르곤 노광원을 이용한 패턴 형성 방법

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees