TW517307B - Manufacture method for semiconductor device having silicon-containing insulating film - Google Patents

Manufacture method for semiconductor device having silicon-containing insulating film Download PDF

Info

Publication number
TW517307B
TW517307B TW090133370A TW90133370A TW517307B TW 517307 B TW517307 B TW 517307B TW 090133370 A TW090133370 A TW 090133370A TW 90133370 A TW90133370 A TW 90133370A TW 517307 B TW517307 B TW 517307B
Authority
TW
Taiwan
Prior art keywords
gas
etching
film
insulating film
semiconductor device
Prior art date
Application number
TW090133370A
Other languages
English (en)
Inventor
Daisuke Komada
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Application granted granted Critical
Publication of TW517307B publication Critical patent/TW517307B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Description

517307 A7 _B7_ 五、發明説明(丨) [技術領域] 本發明係有關於一種半導體裝置之製造方法,特別是— 種半導體裝置之製造方法,使位於含有石夕之絕緣膜下方之 基底之膜作為蝕刻停止膜後對該絕緣膜進行乾式姓刻者。 [習知背景] 在被層間絕緣膜覆蓋之MOSFET之源極與汲極領域 上,為接觸於層間絕緣膜上之配線時,形成有可貫通層間 絕緣膜之接觸孔。通常層間絕緣膜之膜厚不甚均勻。又, 源極及沒極領域用之接觸孔與閘極上之接觸孔亦有同時形 成的時候。一般而言,源極與汲極領域上之層間絕緣膜較 閘極上之層間絕緣膜還厚。 在層間絕緣膜較厚的部位亦使接觸孔貫通之再現性良 好,而一般進行有過度蝕刻。為防止由於過度蝕刻而造成 源極領域或没極領域的損傷,而將由較層間絕緣膜之餘刻 速度慢之材料構成之#刻停止膜配置於層間絕緣膜之基底 表面上。層間絕緣膜以氧化矽(Si〇2)形成時,蝕刻停止膜 則由諸如氮化矽(SiN)形成。 隨著半導體積體電路之圖案微細化,而採用有一種可使 接觸孔自我對準形成之方法(Self-Aligned contact : SAC)。 SAC方式是用蝕刻停止膜覆蓋閘極側面與上面,其上形成 有層間絕緣膜。在形成一使源極與汲極領域之表面裸露之 接觸孔時,由於有钱刻停止膜保護著閘極,因此可防止閘 極露出。 用A1配線形成多層佈線層時,則是在A1配線上形成有 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 訂| -4- 517307 五、發明説明(2 ) 防止氧化用之TiN膜與防止反射用之si〇N膜。而在層間 絕緣膜形成通孔時,則是由該TiN膜或Si〇N膜發揮蝕刻 停止膜之作用。 為使其等蝕刻停止膜以良好再現性阻隔蝕刻時,則必須 提高層間絕緣膜與蝕刻停止膜間之蝕刻速度比(選擇比)。 攻今是在C4Fs氣體中添加一氧化碳(co)而實現高選擇比。 近·年來,為使配線電阻降低且提昇半導體積體電路裝置 之性忐,而開始採用一種以金屬鑲嵌法形成Cu配線之技 術。在單金屬鑲嵌法中,先於下層Cu配線上形成通道層 絕緣膜,再於該通道層絕緣膜形成通孔。在形成通孔之後, 做蝕刻罩蓋使用之光阻圖案利用氧電漿灰化移除。 將光阻圖案灰化後,使基底之Cu配線露出時,則使Cu 配線氧化,因此通常在Cu配線上面再用氮化矽等構成之 氧化防止膜保護。在一通孔底面殘留有氧化防止膜之狀態 下將光阻圖案灰化。換言之,該氧化防止膜也作為通孔形 成時之蝕刻停止膜之用。在除去光阻圖案後,對殘留在通 孔底面之氧化防止膜蝕刻而使Cu配線露出。 由於有蝕刻裝置之不安定性、層間絕緣膜之膜厚不均以 及基板面内之蝕刻速度不均等之因素存在,須於通孔形成 時進行過度#刻。又,因為微負荷效應,所以使深寬比高 之通孔之蝕刻速度變慢。 通常,在晶片外周配設有由金屬形成之耐濕環。形成通 孔之同時,也在晶片外周形成有一用以配置耐濕環之凹槽 圖案。該凹槽圖案之深寬比較通孔的還低,使凹槽圖案之 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公爱) (請先閲讀背面之注意事項再填寫本頁) .裝— .訂— -S- 517307 A7 _______B7__ 五、發明説明(3 ) #刻速度比通孔之蝕刻速度快。因此凹槽圖案之過度蝕刻 量變大。 又’以金屬鑲嵌法形成之配線用凹槽圖案之大小也各式 各樣,使各自的深寬比也非一定。深寬比較低之凹槽圖案 將遭受較大的過度触刻。 文到較大之過度姓刻之通孔或凹槽圖案之底面上為具 有良好再現性殘留氧化防止膜,而必須以使通道層絕緣膜 及配線層絕緣膜與蝕刻停止膜間之選擇比變大之蝕刻條件 形成通孔及凹槽圖案。 [本發明欲解決之課題] 習知之蝕刻技術無法獲得足夠的蝕刻選擇比,造成經由 過度蝕刻時使得位於通孔或凹槽圖案之底面之蝕刻停止膜 消失而易使Cu配線露出。而Cu配線一露出,在進行光阻 圖案灰化時,則使Cu配線氧化。 [發明之揭示] 本發明之目的係於提供一種半導體裝置之製造方法, 即,於乾式蝕刻步驟中採用一種可確保須蝕刻之層與該層 下方之姓刻停止層間足夠之選擇比之蝕刻技術以製造半導 體裝置者。 依本發明之一觀點,可提供一種半導體裝置之製造方 法,其係包含有以下步驟,即:以光罩圖案覆蓋由含矽之 絕緣材料所構成之絕緣膜之表面;及,以前述光罩圖案作 為屏蔽,並使用含有氣體與CxFy (χ與y為整數且滿 足X - 5、(2χ — 1))氣體之蝕刻氣體對該絕緣膜進行乾式 各紙張尺度適用中國國家標準(CNS) Α4規格(21〇χ297公釐) (請先閲讀背面之注意Ϊ-項再堤寫本頁)
-6- 517307 A7 B7
五、發明説明G 蝕刻 藉用含有C^F8氣體與y為整數且滿足χ^5、 y^(2x-1))氣體之㈣氣體’可將絕緣膜相對於該絕緣膜 下方之蝕刻停止膜之蝕刻選擇比提高。 依本發明之另一觀點,可提供一種半導體之製造方法, 其係包含有以下步驟,即:準備一表面裸露有金屬配線上 面之半導體基板;於前述半導體基板之表面上形成一由第 1絕緣材料構成之蝕刻停止膜;於前述蝕刻停止膜上堆積 一絕緣膜,該絕緣膜係由與前述蝕刻停止膜之耐蝕刻性不 同且含Si之第2絕緣材料所形成者;以於與前述金屬配線 重疊之位置上具有開口之光阻圖案覆蓋前述絕緣膜之表 面;以光阻圖案作為屏蔽並使用含有C4F8氣體與CxFy(x 與y為整數且滿足氣體之㈣氣體為钱 刻氣體,而對該絕緣膜進行乾式蝕刻形成一凹部,使於該 凹部之底部裸露出前述蝕刻停止膜;在前述金屬配線被前 述餘刻停止膜覆蓋之狀態下將前述光阻圖案移^;將前述 乾式蝕刻步驟中露出之前述蝕刻停止膜移除,使前述金屬 配線之一部分裸露;及,在於前述乾式蝕刻步驟中所蝕刻 之凹部埋設導電材料,形成與前述金屬配線相接之導電構 件;並使以前述蝕刻氣體進行蝕刻之前述第i絕緣材料之 姓刻速度較前述第2絕緣材料之蝕刻速度慢。 因為可將絕緣膜相對於蝕刻停止膜之蝕刻選擇比提 高,所以可以良好再現性而於凹部底面殘留蝕刻停止膜。 因此在移除光阻圖案時,可防止金屬配線氧化。 -------------------裝—— (請先閲讀背面之注意事項再填寫本頁) 丨、可» :線丨
517307 A7 一^' ------ - B7_ 五、發明' — [發明之實施形態] 參照第1至第3圖說明本發明第丨實施例之半導體裝置 之製造方法。 如第1圖所示,在矽基板丨之表層部上形成元件分離絕 緣項域2,以劃出一活性領域。該元件分離絕緣領域2係 藉矽之局部氧化(L0C0S)或淺溝槽絕緣(STI)等方式所形 成。在活性領域上形成m〇sfet 3。該MOSFET 3係含有 問極3G、源極3S及汲極3D構成。 在矽基板1上面形成由氧化矽(Si〇2)形成之層間絕緣膜 5,以覆蓋MOSFET 3。並形成多數貫通該層間絕緣膜5之 通孔,朝前述通孔内填充由鎢(w)構成之栓塞。栓塞6S及 6G各連接於源極領域3S及汲極領域3D。此外,通孔之内 面上配置有由TiN等形成之阻擋金屬層。 於層間絕緣膜5上方形成有由SiN構成且厚度3〇11111之 蝕刻停止膜10。該蝕刻停止膜1〇係可藉諸如電漿激發型 CVD形成。在該姓刻停止膜1〇 ±形成有氣石夕玻璃⑽⑺ 構成且厚度500nm之配線層絕緣膜u。該配線層絕緣膜 η係可經由諸如以SiH4、SiF4、N2〇& 乂作為原料氣體 之電漿激發型CVD形成。 該配線層絕緣膜11上方形成有光阻膜12。利用微影技 術而於光阻膜12上形成一可通過栓塞6§上方之細長開口 12S與可通過栓塞6D上方之細長開口 12D。開口 i2s之寬 度為約0.25//m,開口 12D之寬度為約〇·5/Ζιη。 如第2圖所示’以光阻膜12為屏蔽後對配線層絕緣膜 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公釐-- 517307 A7 ___B7_ 五、發明説明(6 ) 11餘刻,而形成與開口 12S及12D相對應之配線槽11 S 及11D。配線層絕緣膜11之蝕刻係藉反應式離子蝕刻(RIE) 進行。在本實施例中是使用日本東京電子股份有限公司製 之RIE裝置(UNITY 85-IEM)。蝕刻條件之明細容於後述。 因為微觀負載效應的關係,使得較寬之槽丨1 D會比較 窄的槽11 S還快速地被餘刻。因此在於窄槽丨丨s之底面露 出飯刻停止膜10時停止蝕刻時,位於寬槽丨丨D底面之蝕 刻停止膜10之上層部就被蝕刻。形成配線槽1丨S及丨i D 後進一步地將光阻膜12灰化除去,隨後將露出於槽lls 與11D之底面之蝕刻停止膜10用CHF3與〇2之混合氣體 進行蝕刻。 說明迄至第3圖所示之狀態之步驟。以由Ta構成之阻 擋金屬層15覆蓋配線槽IIS、11D内面及配線層絕緣膜u 之表面上。並於阻擋金屬層15表面上形成由Cu所構成之 銅種晶層,藉電鍍而將Cu膜鑲入配線槽11S及11D内。 經由化學機械研磨(CMP)除去多餘的Cu膜及阻擋金屬 層。使配線層絕緣膜11上面露出,留下鑲入於配線槽丨j s 及11D内之Cu配線16。 第4圖係顯示RIE裝置之概略圖。於反應室1〇〇内配 置有下部電極101及上部電極102略呈互相平行。由氣體 導入口 109朝反應室1〇〇内導入蝕刻氣體,並將未反應之 钱刻氣體或反應生成物經過排氣口 1 〇 3而排出。令源極1 〇 6 經由阻抗匹配電路1 〇7而對上部電極1 〇2施加頻率27MHz 之高頻電壓。偏壓電源104則經由阻抗匹配電路丨〇5而對 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公嫠) -----------------------裝------------------、矸------------------線. (請先閲讀背面之注意事項再填寫本頁) •9- 517307 A7
上部電極101施加頻率800kHz之高頻電壓。下部電極1〇1 上面保持有須加以處理之基板11 0。 其次’針對示於第2圖之配線層絕緣膜11之蝕刻條件 進行說明。所使用之蝕刻氣體包含有環狀飽和Cjs、鏈狀 不飽和CSF8、Ar、Co及Ο!,上述氣體流量各為6sccm、 4sccm、30〇sccm及10sccm。反應室1〇〇内之壓力為5 3pa (40mTorr) ’供與上部電極1〇2之源極功率為6〇〇w,供與 下部電極101之偏壓功率為1400W,下部電極ι〇1之溫度 為 20°C。 在該條件下,FSG膜之蝕刻速度相對於SiN膜之蝕刻 速度之比率(選擇比)約20。又,窄槽lls之蝕刻速度為約 400nm/分鐘,寬槽11D之蝕刻速度為約5〇〇nm/分鐘。為使 餘刻停止膜以良好再現性露出於窄槽丨丨s之底面,而進行 有20%之過度蝕刻。亦即,令蝕刻時間作為以窄槽丨丨$之 蝕刻速度形成600nm(配線層絕緣膜U之厚度5〇〇nm/1 2) 之深的凹槽的時間(90秒)。 在窄槽iis底面進行有厚度100nm(600nin—5QOnm)程 度之過度钱刻。藉該過度蝕刻使露出於窄槽lls底面之蝕 刻停止膜10被蝕刻之深度為5nni (i〇〇nmx 1/2〇)。以窄槽 11D之姓刻速度進行90秒之蝕刻後,蝕刻量為75〇nm。因 為配線層絕緣膜11之厚度為5〇〇ηιη,所以過度蝕刻量為 250nm。又由於FSG膜相對於SiN膜之選擇比為2〇,因此 藉過度姓刻,使露出於寬槽11D底面之蝕刻停止膜1〇被 #刻之量成為12.5nm。 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公楚) -10- 517307 A7 — _ B7 I、發明説明(8~" (請先閲讀背面之注意事項再填寫本頁) 姓刻停止膜10之厚度為3011111時,亦可於寬槽11D底 面留下具有相當厚度之蝕刻停止膜10。因此,可防止位於 餘刻停止膜10下方之栓塞6S及6D被蝕刻。 為進行比較,以不添加氣體之狀態下進行蝕刻。 所使用之氣體係含有環狀飽和Cjs、Ar、c〇及〇2,流量 各為lOsccm、20〇Sccm、75sCCm及6sccm。反應室内壓為 8Pa(60mT〇rr)、源功功率為600W、偏壓功率為n〇〇w、下 部電極溫度20°C。 在該蝕刻條件下蝕刻選擇比為8。在該蝕刻條件下而對 窄槽11S進行20%過度蝕刻時,位於寬槽UD之底面之蝕 刻停止膜10被#刻約3 1.3nm。因為將蝕刻停止膜之厚 度形成30nm,因此使栓塞6D露出於寬槽11D底面,栓塞 6D有一部分被蝕刻。 由上述比較可知,蝕刻氣體中之氣體提高其蝕刻 選擇比。又,添加CO氣體時已知可提高姓刻選擇比,但 只有CO氣體之添加是不夠的,添加有氣體時,可進 一步地將選擇比提高。又〇2氣體具有提高蝕刻之穿透性。 Ar氣體是供離子辅助之用之氣體。 其次’參照第5圖’說明本發明第2實施例之半導體裝 置之製造方法。 如第5 (Α)圖所示’配線層絕緣膜11上所形成之配線槽 内镶入有C u配線16 ^配線層絕緣膜11與該膜下之结構係 同樣以第1 (C)圖所示之第1實施例之方法形成。在晶片靠 外周線稍微内側處沿晶片外周形成有耐濕環6R及16R。 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公釐) -11 - 517307 A7 B7 五、發明説明 耐濕環6R係、與鶴㈣6之形成同—之步驟形《,耐濕環 16R則由與Cu配線16之形成同一之步驟形成者。 配線層絕緣膜上方形成有由氮化矽構成且厚度5〇nm之 餘刻停止膜20。#刻停止膜20係以諸如電漿激發型CVD 形成,發揮作為Cu配線16之擴散防止膜兼氧化防止膜之 用。在蝕刻停止膜20上方形成有一由FSG構成且厚度丨〇〇〇 nm之通道層絕緣膜21。該通道層絕緣膜21係以諸如電漿 激發型CVD形成。 在該通道層絕緣膜21上面形成有光阻膜22。該光阻膜 22形成有通孔形成用之開口 22H,及一用以形成耐濕環用 之凹槽之開口 22R。開口 22H係配置與Cu配線16相重疊, 而開口 22R則在财濕環16R上方沿耐濕環16R配置者。 以光阻膜22為屏蔽而對通道層絕緣膜22蝕刻。針對蝕 刻條件之明細容於後述。接著形成有對應於開口 22H之通 孔21H與對應於開口 22R之凹槽21R。於通孔21H與凹槽 21R底面露出有蝕刻停止膜20。 如第5(B)圖所示,灰化移除光阻膜22。此時由於有蝕 刻停止膜20覆蓋著Cu配線16及耐濕環16R上面,因此 可防止Cu配線16及耐濕環16R <氧化。 如第6(C)圖所示,通孔21H及凹槽21R内部填入有機 物30高度在500nm以下。有機物30係諸如已將感光劑除 去之光阻材料。通道層絕緣膜21上形成有具有與通孔21H 及凹槽21R相重覆之開口之光阻膜31。 以光阻膜31為光罩進行通道層絕緣膜21之蝕刻。蝕刻 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) (請先閲讀背面之注意^項再填k本頁) 訂- -12- 517307 A7 B7 五、發明説明 ίο 停止膜20係以有機物3〇保護,因此不被蝕刻。隨後將該 光阻膜31灰化移除。此時,有機物30也一併除去。 如第6(D)圖所示,底面形成有與通孔21Η相連之配線 槽32。又’使凹槽21R上方之寬度變寬。隨後用chF3與 〇2之混合氣體蝕刻露出於通孔21H與凹槽21R底面之蝕 刻停止膜20。 通孔21H及凹槽21R底面各有Cu配線16及耐濕環16R 露出。同樣用第3圖說明過之方法進行阻擋金屬層及種晶 層之形成、Cu膜之鑲入及CMP。於通孔21H及配線槽32 之内面形成有阻擋金屬層25,通孔21H及配線槽32内鑲 入有Cu配線26。凹槽22R内面形成有阻擋金屬層25R , 凹槽21R内部鑲入有由Cu構成之耐濕環26R。 如此藉雙重金屬鑲嵌法而形成有銅配線層。重複同樣的 雙重金屬鑲嵌步驟可形成多重配線結構。 其次,針對示於第5(A)圖之通道層絕緣膜21之蝕刻條 件進行說明。所使用之蝕刻氣體包含有環狀飽和Cjs、鏈 狀不飽和C5F8、Ar、C0及〇2,上述氣體流量各為8 sccm、 3 seem、190sccm及8 seem。反應室1〇〇内之壓力為4 Pa (30mTorr) ’供與上部電極1〇2之源極功率為175〇w,供與 下部電極101之偏壓功率為14〇〇w,下部電極1〇1之溫度 為 20〇C。 在該條件下,FSG膜之蝕刻速度相對於siN膜之蝕刻 速度之比(選擇比)為約25。又,直徑〇·3 之通孔21H 之#刻速度為約500nm/分鐘,寬度〇.3em之凹槽21R之 本紙張尺度適用中國國家標準(CNs) Α4規格(210X297公爱) (請先閲讀背面之注意事項再填寫本頁) -裝丨 -訂— :線丨 -13- 五、發明説明 姓刻速度為約625nm/分鐘。為使蚀刻停止膜2()以良好再 現性露出於通孔21H之底面,而進行有2〇%之過度蚀刻。 亦即τ #刻時間作為以通孔2 i H之姓刻速度形《^携⑽ (通道層絕緣膜21之厚度1000nmx 1 2)之深的凹槽的時間 (144 秒)。 在通孔21H底面進行有厚度200nm(1200nm— 1〇〇〇nm) 程度之過度蝕刻。藉該過度蝕刻使露出於通孔2ih底面之 蝕刻停止膜20被蝕刻之深度為8nm(2〇〇nmx 1/25)。 X凹槽2 1R之姓刻速度進# J 44秒之钱刻《走,姓刻量 為1500nm。因為配線層絕緣膜21之厚度為i〇〇〇nm,所以 凹槽21R之過度姓刻量^ 5〇〇nm。又由於f犯膜相對於 SiN膜之選擇比為25,因此藉過度蝕刻,使露出於凹槽 底面之蝕刻停止膜20被蝕刻之量成為2〇nm (5〇〇nmx 1/25) 〇 蝕刻停止膜20之厚度為5〇nm時,亦可於凹槽2ir底 面留下具有相當厚度之蝕刻停止膜2〇。因此,可防止位於 蝕刻停止膜20下方之Cu配線16及耐濕環16R之露出。 為進行比較,以不添加氣體之狀態下進行fsg膜 之蝕刻。所使用之氣體係含有環狀飽和C4F8、Ar、及 〇2,流量各為 l〇SCCm、2〇〇sccm、15〇sccm& 心“瓜。反應 室内壓為4Pa(3〇mT〇rr)、源極功率為2〇〇〇w、偏壓功率為 1400W、下部電極溫度2〇°c。 在該蝕刻條件下蝕刻選擇比為1〇。在該蝕刻條件下而 對通孔21H進行20%過度蝕刻時,位於凹槽21R底面之蝕 517307 A7 B7 五、發明説明 12 刻停止獏20被蝕刻約50nm之量。因為將蝕刻停止膜2〇 之厚度為50nm,因此使耐濕環16R露出於凹槽21R底面。 為此進行第5(A)圖所示之光阻膜22之灰化時,則使耐濕 環16R被氧化。 為防止耐濕環16R露出,亦可考慮加厚蝕刻停止膜2〇 之厚度。然而由SiN構成之蝕刻停止膜2〇之介電率較由 S G構成之通道層絕緣膜21還南。因此加厚姓刻停止膜 2〇厚度時,將增加配線間之寄生電容而不佳。如上述實施 例’藉於環狀飽和c^8氣體中添加鏈狀不飽和C5f8氣體, 了使姓刻選擇比提南’因此可將姓刻停止膜之厚度變薄。 其次,參照第7圖,針對鏈狀不飽和c5F8氣體之含量 之最佳值進行說明。 第7圖之橫軸表示GF8氣體分壓相對於C4F8氣體分壓 與CSF8氣體分壓之和的比(稱為c:5!?8分壓比),以單位「%」 表示,而縱轴則為FSG膜之蝕刻速度,以單位「分鐘」 表示。GF8氣體分壓比愈大’則敍刻速度愈低。钱刻速度 愈低,則蝕刻選擇比愈高。 惟,Cj8分壓比大於50%時,如第7圖線圖中所示, 所形成之通孔底部有反應生成物殘留。C5F8分壓比愈大, 則反應生成物的殘渣愈多。通孔底部一有反應生成物時, 則使姓刻無法再進一步實施。令C5F8分壓比小於〇.5 ,則 無反應生成物之殘渣。為防止反應生成物殘渣的產生,使 CsF8氣體之分壓比在0.5以下者為佳。 上述實施例中顯示S iN膜為钱刻停止膜,對其上面之 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) ,裝— •、νΰ· :線丨 -15- 517307 A7 B7 五、發明説明“) FSG膜進行蝕刻之形態,其他形態中對含有Si之絕緣膜(但 是SiN膜與SiON膜例外)進行蝕刻時,亦可得到高選擇 比。如上之絕緣膜材料係諸如:氧化矽(SiOJ、磷碎玻璃 (PSG)、硼矽玻璃(BSG)、硼磷矽玻璃(BPSG)、氫矽倍半四 氩吡喃(HSQ)、四乙基氧化矽玻璃(TEOS)、含碳之氧化石夕 (SiOC)及自旋塗佈矽玻璃(SOG)不等。 上述實施例中蝕刻氣體係使用環狀飽和C4F8氣體中添 加有鏈狀不飽和GF8氣體者,亦可使用同種之其他氟碳氣 體。例如亦可使用鏈狀不飽和cuf8氣體代替環狀飽和c4f8 氣體。亦可使用以通式CxFy(x及y為整數,滿足x^5、y S (2x — 1))表示之氟碳氣體代替鏈狀不飽和c5F8氣體。 又’亦可使用CUF6氣體代替鏈狀不飽和c5F8氣體。c4F6 氣體之C/F比為0.667,較CJ8氣體之C/F比0.625還大, 具有易行氣相聚合之傾向。惟,其差距很小,因此c4f6 氣體加於CUFs氣體之較佳添加量可想是較c5F8氣體之最 佳添加量稍有差距,但可以約略同量之添加量下得到同樣 效果吧。 在上述實施例中所採用之蝕刻法係可使用上述實施例 外之各種結構的半導體裝置之製造❶例如源極領域、閘極 及汲極領域上可以同一蝕刻步驟適用於形成通孔之步驟。 又,亦可適用於:閘極上面與側面用蝕刻停止膜覆蓋,在 源極領域及汲極領域上自行匹配地形成通孔之步驟。進 而,亦可適用於以單金屬鑲嵌法形成通孔及配線槽之步驟。 第8(A)圖係顯示藉由第3實施例之半導體裝置之製造 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁)
.訂I -纖_ -16- 517307 A7 B7 五、發明説明L ) 14 方法而於絕緣膜形成通孔時之通孔部分之戴面圖。於基底 之層間絕緣膜50之表層部鑲嵌有銅配線51。並將銅配線 51及層間絕緣膜50之表面以由SiN或Sic所構成之蝕刻 停止膜52。於姓刻停止膜52上形成有以與第i圖所示: 第1實施例之配線層絕緣膜u同一材料形成之絕緣膜 53。在絕緣膜53上形成通孔53a。該通孔53a之形成係以 與第5(A)圖所不之第2實施例之通孔2丨H形成同樣之方法 進行。 / 銅配線51上面通常因CMP特性而致凹下。該凹陷處之 上面以似型(conformal)狀態形成有蝕刻停止膜52。藉由對 準時之錯位,通孔53a —靠近銅配線之邊緣時,通孔53& 之底面有蝕刻停止膜52之凸部52a露出。如此蝕刻停止膜 52之凸部52a —露出後通常造成蝕刻選擇比降低。 依照本實施例之方法形成通孔53a時,可得到高蝕刻選 擇比,如第8(A)圖所示,即使有錯位產生,亦可穩定地停 止姓刻。 第8(B)圖係顯示藉由第4實施例之半導體裝置之製造 方法而於絕緣膜上形成配線槽時之配線槽部分之截面圖。 在基底之層間絕緣膜60之表層部配置有由銅或鎢所構成 之栓塞61。在栓塞61及層間絕緣膜60上面形成有由SiN 或SiC所構成之餘刻停止膜62。在該姓刻停止膜62上方 形成有以與第1圖所示之第1實施例之配線層絕緣膜i i 同一之材料形成之絕緣膜63。並於該絕緣膜63形成配線 槽63a。該配線槽63a之形成係與第2圖所示之第1實施 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) -------------------裝------------------#-----------------_線· (請先閲讀背面之注意事項再填寫本頁) -17- 517307 A7 ___:__ B7 五、發明説明) 例之配線槽11S形成同樣之方法進行。 在栓塞61上面一般而言容易形成凸狀。因此在栓塞61 與層間絕緣膜60間之界面上容易形成凹部62a。以本實施 例之方法形成配線槽63a時,可得到高姓刻選擇比,因此 如第8(B)圖所示,即使形成有凹部62a時,亦可穩定地停 止餘刻。 在上述實施例中雖使用了以平行板型電極產生電容耦 合型電漿之RIE裝置,亦可使用其他乾式蝕刻裝置。例如 也可使用以產生感應耦合電漿(ICP)之蝕刻裝置或利用電 子迴旋共振(ECR)以產生電漿之蝕刻裝置。 雖按以上實施例說明本發明,但本發明並不限於此。只 要是熟知該技術之業者可知曉做各種改變、改良及組合。 •由上述實施例可導出以下附記中所示之發明。 (附記1) 一種半導體裝置之製造方法,係包含有以下步 驟,即: 以光罩圖案覆蓋由含矽之絕緣材料所構成之絕緣膜之 表面;及 以則述光罩圖案作為屏蔽,並使用含有CJs氣體與 CxFy (X與y為整數且滿足5、yg (2X — 1))氣體之蝕刻 氣體對該絕緣膜進行乾式钱刻。 (附記2)如附記1之半導體裝置之製造方法,其中該 氣體為環狀飽和碳氟氣體。 (附記3)如附記1或2之半導體裝置之製造方法,其中 該CxFy氣體為C5FS氣體,令前述(^6氣體之分壓為p、 本紙張尺度適用中國國家標準(CNS) A4規格(21〇><297公酱) (請先閲讀背面之注意^-項再填^-本頁) -訂— -18- 517307 A7 B7 五、發明説明^ C5F8氣體之分壓為p2時,以滿足〇< p2/(Pi + 〇·5之條 件進行前述乾式蝕刻。 (附冗4)如附記1至3中任一半導體裝置之製造方法, 其中該絕緣膜係至少選自下群中之一種絕緣材料形成者, 即該群為·氧化矽、磷矽玻璃、硼矽玻璃、硼磷矽玻璃、 掺氟石夕玻璃、氫石夕倍半四氩吼喃、四乙基氧化石夕玻璃、含 碳之氧化矽玻璃及自旋塗佈矽玻璃。 (附記5)如附記丨至4中任一半導體裝置之製造方法, 其中該蝕刻氣體係進而包括至少選自氬氣、氧氣及一氧化 碳所構成之群中之一種氣體。 (附記6)如附記丨至5中任一半導體裝置之製造方法, 該方法係於形成前述絕緣膜之步驟前進而具有一步驟, 即於半導體基板之表面上形成一蝕刻停止膜,該停止膜 是用以一使用前述蝕刻氣體進行乾式蝕刻時之蝕刻速度較 以同一條件進行蝕刻時之前述絕緣膜之蝕刻速度小之材料 形成者,而將前述絕緣膜形成於前述蝕刻停止膜之上。 (附记7)—種半導體裝置之製造方法,係包含有以下步 驟,即: 準備一表面裸露有金屬配線上面之半導體基板· 於前述半導體基板之表面上形成一由第1絕緣材料 構成之姓刻停止膜; 於前述蝕刻停止膜上堆積一絕緣膜,該絕緣膜係由 與則述姓刻停止膜之耐蝕刻性不同且含有Si之第2絕 緣材料所形成者; ' 本紙張尺度相中_家標準(CNS) A4規格(210X297公釐) -------------------裝—— (請先閲讀背面之注意事項再填寫本頁) .、訂| :線丨 -19- 517307 A7 〜^----- - B7_— 1、發明説明^ ~-— 以於與前述金屬配線重疊之位置上具有開口之光阻 圖案覆蓋前述絕緣膜之表面; 以光阻圖案作為屏蔽並使用含有c4F8氣體與CxFy (X與y為整數且滿足xd、y^(2x—υ)氣體之钱刻氣 體為蝕刻氣體,而對該絕緣膜進行乾式蝕刻形成一凹 使於該凹部之底部裸露出前述钱刻停止膜; 在前述金屬配線被前述蝕刻停止膜覆蓋之狀態下將 前述光阻圖案移除; 將前述乾式蝕刻步驟中露出之前述蝕刻停止膜移 除,使前述金屬配線之一部分裸露;及 在於前述乾式蝕刻步驟中所蝕刻之凹部埋設導電材 料’形成與前述金屬配線相接之導電構件; 並使以前述蝕刻氣體進行蝕刻之前述第丨絕緣材料 之姓刻速度較前述第2絕緣材料之蝕刻速度慢。 (附記8)如附記7之半導體裝置之製造方法,其中該 氣體為環狀飽和碳氟氣體。 (附記9)如附記7或8之半導體裝置之製造方法,其中 該CxFy氣體為CsFs氣體,令前述c:4!?8氣體之分壓為ρι、 C5F8氣體之分壓為p2時,以滿足〇< ρ2/(Ρι+ p2)^ 〇 5之條 件進行前述乾式蝕刻。 (附記10)如附記7至10中任一半導體裝置之製造方法, 其中該絕緣膜係至少選自下述之群中之一種絕緣材料形成 者’即該群為:氧化矽、磷矽玻璃、硼矽玻璃、硼碟石夕玻 璃、掺氟矽玻璃、氫矽倍半四氫咄喃、四乙基氧化石夕、含 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) (請先閲讀背面之注意t項再填t本頁) 訂丨 -20 - 517307 A7 —__________ B7 五、發明説明“) 碳之氧化石夕及自旋塗佈石夕玻璃。 (附6己11)一種半導體裝置之製造方法,係包含有以下步 驟,即: 於表層部一部分配置有銅配線、銅栓塞或鎢栓塞之 基底層上方形成由SiN或SiC所構成之第1膜; 於前述第1膜上形成由絕緣材料構成之絕緣膜; 以光罩圖案覆蓋前述第2膜;及 以光罩圖案作為屏蔽並使用含有C4F8氣體與cxFy (X與y為整數且滿足x^5、y^(2x— 1)}氣體之蝕刻氣 體’而對前述第2膜進行乾式蝕刻。 (附記12)如附記U之半導體裝置之製造方法,其中該 氣體為環狀飽和碳氟氣體。 (附記13)如附記丨丨或12之半導體裝置之製造方法,其 中該CxFy氣體為(:5匕氣體,令前述C4F8氣體之分壓為Ρι、 C5F8氣體之分壓為匕時,以滿足〇<ρ2/(Ρι + ρ2)$〇 5之條 件進行前述乾式蝕刻。 (附記14)如附記^至13中任一半導體裝置之製造方 法,其中該絕緣膜係至少選自下述之群中之一種絕緣材料 形成者,即該群為··氧化矽、鱗矽玻璃 ' 硼矽玻璃、硼碟 矽玻璃、掺氟矽玻璃、氫矽倍半四氫吡喃、四乙基氧化矽、 含碳之氧化矽及自旋塗佈矽玻璃。 (附記15)如附記u至14中任一半導體裝置之製造方 法,其中該蝕刻氣體係進而包括至少選自氬氣、氧氣及一 氧化碳所構成之群中之一種氣體。 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公菱) 裝------------------訂------------------線. (請先閲讀背面之注意事項再填寫本頁) -21 - 517307 A7 、發明説明“) [發明之效果] 如以上說明,依本發明,藉由在c4F8氣體添加以CxFy(x 與y為整數且滿足x-5、y^(2x—1)}表示之碳氟氣體之蝕 刻氣體,可對含Si之絕緣膜以較蝕刻停止膜為高之選擇比 進行蝕刻。 請 先 閲 讀 背 [圖式之簡單說明] 第1圖係用以說明本發明第 造方法之截面圖(其一)。 第2圖係用以說明本發明第 造方法之截面圖(其二)。 1實施例 之半導體裝置之製 1實施例之半導體裝置之製 注 意 項 再 填 寫- 本 頁 第3圖係用以說明本發明第1實施例之半導體裝置之製 造方法之戴面圖(其三)。 .第4圖係本發明實施例中所使用之RIE裝置之概略圖。 第5(Α)·5(Β)圖係用以說明本發明第2實施例之半導體 裝置之製造方法之部分切除立體圖(其一)。 第6(C)-6(D)圖係用以說明本發明第2實施例之半導體 裝置之製造方法之部分切除立體圖(其二)。 第7圖係顯不QF8氣體含量與蝕刻速度間之關係線圖。 第8圖係用以說明本發明第3及第4實施例之半導體裝 置之製造方法之截面圖。 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公爱) -22- 517307 A7 B7 五、發明説明 [圖中元件標號說明] 100.. .反應室 101…下部電極 102…上部電極 103…排氣口 104…偏壓電源 105,107..·阻抗匹配電路 106.. .源極 109.. .氣體導入口 110.. .基板 (請先閲讀背面之注意事項再填寫本頁) 1.. .矽基板
2.. .元件分離絕緣領域 3 …MOSFET 3D...汲極 3G...閘極 35.. .源極 5.50.60.. .層間絕緣膜 6R,16R...耐濕環 6S,6D,61…栓塞 10.20.52.62.. .蝕刻停止膜 11···配線層絕緣膜 12.22.31.. .光阻膜 118,110,32,63,63&...配線槽 12S,12D,22H,22R··.開口 15,25…阻擋金屬層 16,26,51 ...Cu 配線 21.. .通道層絕緣膜 21H,53a·.·通孔 21R,22R···凹槽 30.. .有機物 52a···凸部. 53,63…絕緣膜 62a…凹部 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) -23-

Claims (1)

  1. 517307 A8 B8 C8 D8
    申請專利範圍 1 ·種半導體裝置之製造方法,係包含有以下步驟,即: 以先罩圖案覆蓋由切之絕緣材料所構成之絕緣膜 之面,及 以广:光罩圖案作為屏蔽’並使用含有氣體與 ” y為整數且滿足…”.丨))氣體之钱 刻氣體對該絕緣膜進行乾式蝕刻。 2‘如申明專利範圍帛1項之半導體裝置之製造方法,其中 該氣體為環狀飽和碳氟氣體。 ’、 3.如申請專利範圍第…項之半導體裝置之製造方法, 其中該CxFy氣體為C5F8氣體,令前述μ氣體之分壓 為P丨、C5F8氣體之分壓為?2時,以滿足〇< P2/(Pi + w ‘〇·5之條件進行前述乾式蝕刻。 4_如申請專利範圍第1項之半導體裝置之製造方法,其中 該絕緣膜係至少選自下述之群中之一種絕緣材料形成 者,即該群為:氧化矽、磷矽玻璃、硼矽玻璃、硼磷矽 玻璃、掺氟矽玻璃、氫矽倍半四氫咄喃、四乙基氧化矽、 含碳之氧化矽及自旋塗佈矽玻璃。 5·如申請專利範圍第丨項之半導體裝置之製造方法,其中 該蝕刻氣體係進而包括至少選自氬氣、氧氣及一氧化碳 所構成之群中之一種氣體。 6·如申請專利範圍第1項之半導體裝置之製造方法,該方 法係於形成前述絕緣膜之步驟前進而具有一步驟,即, 於半導體基板之表面上形成一蝕刻停止膜,該停止膜是 用以一使用前述蝕刻氣體進行乾式蝕刻時之蝕刻速度 本紙張尺度適用中國國家標準(CNs) A4規格(210X297公釐) (請先閱讀背面之注意事項再填窝本頁) |嫌· •訂— 轉· 24- 六 )丄73〇7 中睛專利範圍 較以同一條件進行蝕刻時之前述絕緣膜之蝕刻速度小 之材料形成者,而將前述絕緣膜形成於前述蝕刻停止骐 之上〇 種半導體裝置之製造方法,係包含有以下步驟,即: 準備一表面裸露有金屬配線上面之半導體基板; 於前述半導體基板之表面上形成一由第丨絕緣材料 構成之蝕刻停止膜; 於前述蝕刻停止膜上堆積一絕緣膜,該絕緣膜係由 與刖述蝕刻停止膜之耐蝕刻性不同且含有Si之第2絕 緣材料所形成者; 以於與前述金屬配線重疊之位置上具有開口之光阻 圖案覆蓋前述絕緣膜之表面; 以光阻圖案作為屏蔽並使用含有C4F8氣體與CxFy (X與y為整數且滿足x^5、y^(2x—1})氣體之蝕刻氣 體為姓刻氣體,而對該絕緣膜進行乾式蝕刻形成一凹 部’使於該凹部之底部裸露出前述蝕刻停止膜; 在前述金屬配線被前述蝕刻停止膜覆蓋之狀態下將 前述光阻圖案移除; 將前述乾式蝕刻步驟中露出之前述蝕刻停止膜移 除’使前述金屬配線之一部分裸露;及 在於刖述乾式钱刻步驟中所韻刻之凹部埋設導電材 料,形成與前述金屬配線相接之導電構件; 並使以前述蝕刻氣體進行蝕刻之前述第1絕緣材料 之#刻速度較前述第2絕緣材料之#刻速度慢。 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)
    ------------------------裝…! (請先閲讀背面之注意事項再填寫本頁} 訂丨 :線丨 -25 517307 A8 B8 C8 D8
    六、申請專利範圍 8·如申請專利範圍第7項之半導體裝置之製造方法,其中 該氣體為環狀飽和碳氟氣體。 9·如申請專利範圍第…項之半導體裝置之製造方法, 其中該CxFy氣體為CsF8氣體,令前述氣體之分壓 為Pi、c5f8氣體之分壓為p2時,以滿足〇< p2/(Pi + $ 〇·5之條件進行前述乾式蝕刻。 10·如申請專利範圍第7項之半導體裝置之製造方法,其中 該絕緣膜係至少選自下述之群中之一種絕緣材料形成 者,即該群為:氧化矽、磷矽玻璃、硼矽玻璃、硼磷矽 玻璃、掺氟矽玻璃、氫矽倍半四氫咄喃、四乙基氧化矽、 含故之氧化>5夕及自旋塗佈碎玻璃。 請 先* 閱 讀 I · 意 事 項· 再
    本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公釐) -26 -
TW090133370A 2001-09-28 2001-12-31 Manufacture method for semiconductor device having silicon-containing insulating film TW517307B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001300562A JP4108310B2 (ja) 2001-09-28 2001-09-28 シリコン含有絶縁膜を有する半導体装置の製造方法

Publications (1)

Publication Number Publication Date
TW517307B true TW517307B (en) 2003-01-11

Family

ID=19121114

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090133370A TW517307B (en) 2001-09-28 2001-12-31 Manufacture method for semiconductor device having silicon-containing insulating film

Country Status (4)

Country Link
US (1) US6787474B2 (zh)
JP (1) JP4108310B2 (zh)
KR (1) KR100698998B1 (zh)
TW (1) TW517307B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6861104B2 (en) * 2002-05-22 2005-03-01 United Microelectronics Corp. Method of enhancing adhesion strength of BSG film to silicon nitride film
JP2005142369A (ja) * 2003-11-06 2005-06-02 Renesas Technology Corp 半導体装置の製造方法
US6960535B1 (en) * 2004-05-14 2005-11-01 Sharp Kabushiki Kaisha Dual damascene etching process
US7794616B2 (en) * 2004-08-09 2010-09-14 Tokyo Electron Limited Etching gas, etching method and etching gas evaluation method
JP4282616B2 (ja) * 2005-02-04 2009-06-24 株式会社東芝 半導体装置の製造方法
US20070179105A1 (en) * 2006-01-31 2007-08-02 Awdalla Essam T Method and means for treating solid tumors
US20100154874A1 (en) * 2008-09-29 2010-06-24 Takashi Hirose Photoelectric conversion device and manufacturing method thereof
CN102437089B (zh) * 2011-07-12 2014-05-28 上海华力微电子有限公司 一种铜后道互连工艺
JP6937724B2 (ja) * 2018-06-21 2021-09-22 三菱電機株式会社 半導体装置およびその製造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4216922B2 (ja) * 1998-05-08 2009-01-28 東京エレクトロン株式会社 酸化膜のエッチング方法
JP2611335B2 (ja) 1988-06-13 1997-05-21 富士ゼロックス株式会社 電子装置筐体の電磁波遮蔽構造
JPH0338950A (ja) 1989-07-05 1991-02-20 Canon Inc 通信装置
JP3038950B2 (ja) * 1991-02-12 2000-05-08 ソニー株式会社 ドライエッチング方法
JP3623256B2 (ja) * 1993-06-30 2005-02-23 株式会社東芝 表面処理方法および表面処理装置
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
JP3375605B2 (ja) 1999-08-02 2003-02-10 松下電器産業株式会社 プラズマ処理方法

Also Published As

Publication number Publication date
JP4108310B2 (ja) 2008-06-25
US20030064603A1 (en) 2003-04-03
KR20030026809A (ko) 2003-04-03
KR100698998B1 (ko) 2007-03-26
US6787474B2 (en) 2004-09-07
JP2003109940A (ja) 2003-04-11

Similar Documents

Publication Publication Date Title
EP1302981A2 (en) Method of manufacturing semiconductor device having silicon carbide film
TW386292B (en) Dual in-laid integrated circuit structure with selectively positioned low-k dielectric isolation and method of formation
TW569380B (en) A trench etch process for low-k dielectrics
US5866920A (en) Semiconductor device and manufacturing method of the same
US6815823B2 (en) Copper metal structure for the reduction of intra-metal capacitance
JP4368058B2 (ja) 反射防止エッチストップ層を含む半導体装置を製造するためのプロセス
KR20180034377A (ko) 직사각형 프로파일을 갖는 스페이서 및 그 형성 방법
US6627557B2 (en) Semiconductor device and method for manufacturing the same
US6849539B2 (en) Semiconductor device and method of fabricating the same
US20080207000A1 (en) Method of making high-aspect ratio contact hole
KR20010023462A (ko) 선택적 플라즈마 식각방법
TW517307B (en) Manufacture method for semiconductor device having silicon-containing insulating film
TW466735B (en) Method for forming multilevel metal interconnections with low dielectric constant insulating layers in a semiconductor device
JP4492949B2 (ja) 電子デバイスの製造方法
KR20020025237A (ko) 적어도 하나의 금속화 평면을 구비한 집적회로의 생산 방법
JP2007508697A (ja) 一酸化二窒素を使用したエッチバック方法
JP3803528B2 (ja) 半導体装置の製造方法及び半導体装置
KR100619394B1 (ko) 반도체 소자의 디싱 방지 방법
KR20060019357A (ko) 반도체 소자의 제조 방법
KR20040048042A (ko) 반도체 소자의 제조 방법
KR20010025972A (ko) 반도체 장치의 배선 형성방법
KR20050023982A (ko) 메탈 콘택의 형성 방법
JP2001223270A (ja) ビットラインの製造方法
KR100279246B1 (ko) 반도체 소자의 텅스텐 플러그 형성방법
KR100451492B1 (ko) 반도체소자의콘택홀형성방법

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees