TW478297B - Improved plasma processing systems and methods therefor - Google Patents

Improved plasma processing systems and methods therefor Download PDF

Info

Publication number
TW478297B
TW478297B TW089124186A TW89124186A TW478297B TW 478297 B TW478297 B TW 478297B TW 089124186 A TW089124186 A TW 089124186A TW 89124186 A TW89124186 A TW 89124186A TW 478297 B TW478297 B TW 478297B
Authority
TW
Taiwan
Prior art keywords
plasma processing
substrate
patent application
plasma
item
Prior art date
Application number
TW089124186A
Other languages
English (en)
Inventor
Iii Andrew D Bailey
Alan M Schoepp
David J Hemker
Mark H Wilcoxson
Andras Kuthi
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW478297B publication Critical patent/TW478297B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)

Description

478297 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(1 ) 發明背景 有關案件之對照參考 本甲ϊ靑書與以下同時提出之美專利申請書有關·· 申請書09/439,661號,題爲,,改良之電漿 處理系統及其方法”(律師案號:L Α Μ 1 P 1 2 2 / Ρ 0 5 2 7 ); 申請書09/470,236號,題爲,,具有動態氣 體分配之電漿處理系統”(律師案號:L Α Μ 1 Ρ 1 2 3 / Ρ 0 5 5 7 ); 申請書09/439,675號,題爲,’電漿處理裝 置之溫度控制系統”(律師案號:L Α Μ 1 Ρ 1 2 4 / Ρ 0 5 5 8 ); 申請書09/440, 418號,題爲”產生均勻處 理率之方法及裝置”(律師案號:LAM1P125/ P 0 5 6 〇); 申請書09/440, 794號,題爲”電漿處理系 統之材料及氣體化學物”(律師案號:L Α Μ 1 Ρ 1 2 8 / Ρ 0 5 6 1 ); 申請書0 9 / 4 3 9,7 5 9號,題爲”控制電漿體 積之方法及裝置”(律師案號:LAM1P129/ P 〇 5 9 3 )。 上述專利申請書各列作參考。 本發明係有關用以處理基體,諸如用於〗C製造之半 導體基體,或用於平板顯示應用上之板(例如玻璃,塑膠 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公釐) ^—«------— --------訂·-------- (請先閱讀背面之注意事項再填寫本頁) 4^8297 A7 B7 五、發明說明(2) 等)之裝置及方法。更明確言之,本發明係有關改良之電 漿處理系統,此能處理基體,在基體表面上具有高度之處 理均勻性。 電漿處理系統已存在多時。多年來,已引進並使用利 用電感交連之電漿源,電子迴旋諧掁(E C R )源,電容 源等之電漿處理系統至不同程度,以處理半導體基體及顯 示板。 在普通電漿處理應用中,處理源氣體(諸如蝕刻劑氣 體或沉積源氣體)引進於該室中。然後提供能量,在處理 源氣體中點燃電漿。在電漿點燃後,此由額外能量維持, 此能量可由各種熟悉之方法,例如電容,電感,經由微波 等交連至電漿。使用電漿於處理工作,例如選擇性蝕刻或 沉積一薄膜於基體上。電漿處理名統通常爲本藝中所熟知 ,及參考文件充滿有關各種市面上可獲得之系統之詳細。 故此,爲簡單起見,此處不詳細討論有關電漿處理之一般 原理。 在基體之處理中,處理工程師奮欲提高之一重要參數 爲處理均勻性。在蝕刻環境中,例如,蝕刻均勻性爲合格 率之一重要決定因素’即高度之蝕刻均勻性有助於提高無 缺陷處理之基體之百分率,此轉譯爲製造者之較低成本。 如該術語在此處所用,蝕刻均勻性指在基體表面上之整個 蝕刻處理之均勻性,包括蝕刻率,微載入,蔽罩選擇性, 下層選擇性,臨界幅度控制,及輪廓特徵,諸如側壁角度 及粗糙度。如蝕刻高度均勻,例如,預期在基體之不同點 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公^ (請先閱讀背面之注意事項再填寫本頁) ^衣--------訂---- 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 478297 A7 B7 五、發明說明(3) 處之蝕刻率趨於大致相等。在此情形,基體之一區域較不 可能過度蝕刻,同時其他區域則保持蝕刻不足。而且,在 許多應用,此嚴格之處理要求在基體處理期間中在不同之 階段可能相互衝突。此常由於有多個薄膜需要以大不相同 之電漿處理要求處理之故。例如,在處理一單基體之期間 中,可能需要激烈改變氣體壓力,電漿密度,及化學,以 達成所需之處理性能。 ! 除處理均勻性外,有關處理工程師亦有其他問題存在 。製造者之重要問題中有處理工具之持有成本,此包含例 如獲得及維持該系統之成本,維持一可接受位準之處理性 能所需之室淸潔頻率,系統組成件之燾命等。故此,所需 要之鈾刻處理常爲極力使不同之持有成本及處理參數間正 確平衡之處理,導致在較低成本上之較高品質之處理。而 且,當基體之特色變爲愈小及處理之要求愈高(例如,更 小之臨界幅度,更高之寬高比,更快之產出等),處理工 程師恆尋求新方法及裝置,俾在較低之成本上達成較高品 質之處理結果。 發明槪要 在一實施例,本發明係有關一種用以處理基體之電榮 處理系統,此包含一單室,大致在方位上對稱之電漿處理 室’其內點燃及維持處理用之電漿。電漿處理室無分開之 電漿產生室。電漿處理室具有上端及下端。 電漿處理系統包含一交連窗置於電漿處理室之上端, ---^-------裝--------訂·-------- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)· -6^ 478297 A7 B7 五、發明說明(4) (請先閱讀背面之注意事項再填寫本頁) 及一 RF天線安排置於由基體所界定之一平面上方,當該 基體置於處理用之電漿處理室內時。電漿處理系統亦包含 一電磁鐵安排,置於由基體界定之該平面上方。電磁鐵安 排構造在當至少一直流電流供應至電磁鐵安排中時,導致 電漿處理室內在接近交連窗及天線之區域中之可控制磁場 發生徑向變化。該徑向變化有效影響基體上之處理均勻性 〇 電漿處理系統另包含一 d c電源連接至電磁鐵安排。 該d c電源具有一控制器用以變化至少一直流電流之幅度 ,從而改變電漿處理室內在接近天線之區域中之可控制磁 場之徑向變化,以提高基體上之處理均勻性。 在另一實施例,本發明係有關一種方法,在使用電漿 加強處理法處理基體之期間中用~以控制處理均勻性。該方 法包括提供一電漿處理室,具有一單室,大致爲方位對稱 構造,在基體處理之期間中,在其內點燃漿並維持電漿, 電漿處理室無分開之電漿產生室。 經濟部智慧財產局員工消費合作社印製 該方法並包括提供一交連窗,置於電漿處理系統之上 端;並提供一 R F天線安排,置於由基體所界定之一平面 上方,當該基體置於處理用之電漿處理室內時。該方法另 包括提供一電磁鐵安排,置於由基體所界定之該平面上方 。該電磁鐵安排構造在當至少一直流電流供應至電磁安排 中時,導致電漿處理室內在接近交連窗及天線之區域中之 可控制磁場中產生徑向變化。該徑向變化有效影響基體上 之處理均勻性。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 478297 A7 _____ Β7 _ 五、發明說明(5) (請先閱讀背面之注意事項再填寫本頁) 而且,此包括提供一電源,連接至電磁鐵安排;置基 體於電漿處理室中;流進反應氣體於電漿處理室中,自反 應氣體擊出電漿;及改變電漿處理室內接近天線之區域中 之該可控制磁場中之該徑向變化,以提高基體上之處理均 勻性。 在又另一實施例,本發明係有關一種用以處理基體之 電漿處理系統,此包含一單室,大致在方位上對稱之電漿 處理室,其內點燃及維持處理用之電漿。電漿處理室無分 開之電漿產生室。電漿處理室具有上端及下端。 電漿處理系統包含一交連窗置於電漿處理室之上端, 及一 R F天線安排,置於由基體所界定之一平面上方,當 該基體置於處理用之電漿處理室內時。 並包含一第一 R F電源連接MR F天線,及一第一電 磁鐵安排,置於由基體界定之該平面上方。電磁鐵安排構 造在由該磁鐵安排發出磁場線而導致電漿處理室內在接近 交連窗及天線之區域中之可控制磁場中發生徑向變化。該 徑向變化有效影響基體上之處理均勻性。 經濟部智慧財產局員工消費合作社印製 而且,包含一基體支持安排,構造在處理之期間中支 持基體於電漿處理室內;及一第二R F電源,連接至基體 支持安排。該第二R F電源可與第一 R F電源相互獨立控 制。而且,包含改變裝置,甩以改變電漿處理室內在接近 天線之區域中之可控制磁場中之徑向變化,以提高基體上 之處理均勻性。 以下在本發明之詳說明中及連同以下附圖,更詳細說 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ^ ' — 478297
五、發明說明(6) 經濟部智慧財產局員工消費合作社印製 明本發明之此等及其他特色。 附圖簡述 本發明由實例’而非限制性圖解,其中: 圖1顯示本發明之一實施例之電漿處理系統,包含一 示範之R F天線安排及一示範之上磁鐵安排。 圖2 A顯示一些示範磁場線,此可產生於圖1之室內 ’以方便電發處理室內之可控制磁場強度及佈局中之徑向 變化。 圖2 C顯示可控制磁場強度中之徑向變化之普通佈局 〇 圖2 B不範顯不當操縱圖1之電漿處理系統之電磁鐵 線圈之d c電流時,可產生之另一徑向分歧磁場佈局。 圖3 A至3 Η顯示可用於本發明之實施例之本發明電 漿處理系統中之各種R F天線安排。 圖4 Α至4 F顯示可用於本發明之實施例之本發明電 紫處理系統中之各種磁場產生安排。 圖5 A至5 C顯示可用於本發明之實施例之本發明電 漿處理系統中之各種磁桶安排。 圖6 A至6 C顯示可用於本發明之實施例之本發明電 漿處理系統中之各種磁化圖案。 圖7顯示本發明之實施例之一簡單流程圖,顯示有關 由改變可控制之磁場強度及/或佈局之徑向變化控制處理 均勻性之步驟。 l·--I-------裝--------訂·-------- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNSW規格(210 X 297公釐y 478297 A7 B7 五 、發明說明( 7 兀件對照表 1 〇 0 :電漿處理系統 1 〇 4 :上磁鐵安排 1 〇 8 :軸線 1 1 2 : R F電源 1 2 〇 :交連窗 1 2 4 :基體支持安排 1 3 2 :磁桶安排 1 4 4 :半徑 較佳實施例之詳細說明 現參考附圖所示之少數較佳實施例,詳細說明本發明 。在以下說明中,提出許多特定細節,以便澈底明瞭本發 明。然而,顯然,對精於本藝之人士,可實施本發明,而 無需一些或所有此等特定細節。在其他情形,並不詳細說 明熟悉之程序步驟及/或結構,俾不致不必要地模糊本發 明。 經濟部智慧財產局員工消費合作社印製 源 電 排 流統 安室 電 系 線理 流 源 天處 直 電線 F 漿域變體 F 場 R 電區可基 R 磁
(請先閱讀背面之注意事項再填寫本頁) 在一實施例,本發明係有關一種改良之電漿處理系統 ,此能高度控制處理均勻性。示範之改良電漿處理系統包 含一單室,大致在方位上對稱,即與晶圓平面平行之每一 斷面具有一幾乎圓形之電漿處理室,此用以產生電漿並容 納電漿,供處理工作之用。改良之電漿處理系統另包含一 上磁鐵安排及一 R F天線安排,在處理期間中置於基體平 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -10 - 478297
經濟部智慧財產局員工消費合作社印製 五、發明說明(8) 面上方。 R F天線安排及上磁鐵安排在使電漿處理室內在基體 充分上方之可控制磁場強度及佈局中有重要之徑向變化, 俾達成處理均勻性中之誘導變化,同時確保在基體處之磁 場強度低。在較宜之實施例中,可控制之磁場強度及佈局 中之此徑向變主要在R F天線/真空介面附近之功率交連 區鄰近。可控制之磁場強度及佈局之徑向變化在使其以重 要之方式影響處理均勻性。由刻意設計電漿處理系統,俾 在可控制之磁場強度及佈局中產生能影響處理均勻性之一 徑向變化圖案,及然後提供一方法來改變該徑向變化,提 供一均勻性尺度,使處理工程師能調諧該處理,以改善均 勻性。 在一實例中,可控制之磁場瀹度及佈局之上述徑向變 化由使R F天線安排與上磁鐵安排非同平面產生。或且或 另外,可控制之磁場強度及佈局之徑向變化可由設置一上 磁鐵安排產生,此包含二或更多電磁鐵線圈,此等可相互 同平面或不同平面。可(但非必需)供應且有相反方向之 d c電流至多個電磁鐵線圏,俾在可控制之磁場強度及佈 局中誘導產生上述之徑向變化。 爲製造容易及操作簡單起見,電漿處理室宜構造(唯 不限定於)成一單室,大致在方位上對稱之電漿處理室。 換言之,本發明之電漿處理室宜由一單室構成,此產生並 容納供基體處理用之電漿(且故此,省除去需要用以產生 電漿之另一室),且大致在方位上對稱,以提高均勻性。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)· - 11 - U----«-------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 478297 A7 B7 五、發明說明(9) 例如且並非限制,該室可採取單圓筒形或圓頂形之形狀。 在一較宜之實施例,該室採取單圓筒室之形狀,其中 ,點燃並容納處理工作用之電漿,無需使用分開之電漿產 生室及分開之處理室。 在一示範之改良電漿處理系統中,另設有一磁桶安排 ,其構造在迫使大量之電漿密度梯度離開基體發生。在一 較宜之實施例,磁桶安排置於電漿處理室之周邊周圍。宜 但非必需,磁桶安排包含軸向朝向之多個永久磁鐵在電漿 處理室周邊周圍,在室壁內或外。不管特定之實施如何, 磁桶安排構造在迫使電漿密度梯度集中於室壁附近,離開 基體。如此,進一步提高均勻性,因爲基體上之電漿密度 梯度之改變最小或大爲降低。與上述磁場強度及佈局之可 控制徑向變化結合,該改良之電漿處理系統中之處理均勻 性提高至遠較許多現行電漿處理系統中所可能爲大之程度 〇 磁桶有助於減少電漿在壁上之損失,故此,該示範之 改良電漿處理系統可更有效使用由來源所製造之電漿。故 此,在特定之來源功率,大體可達成較之普通處理系統爲 大之密度,此轉而提供一較寬之處理窗。在許多情形,可 容易達成所需之處理密度,而在示範之改良系統中,普通 需要較少之來源功率,以產生該密度。如精於本藝之人士 可明瞭,來源功率之較低設定有助於降低可能之晶圓損害 機程,並進一步擴大系統之可處理窗。 反應器之電漿分析(諸如根據由壁之B 〇 h m損失平
本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐V ^-----------—------訂--------- Sr, (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 478297 _____ B7 五、發明說明(iq> 衡之容積電離者)亦預測在特定功率沉積中電子溫度由減 小電獎損失面積而降低。在一實施例’此由加裝磁桶達成 。電子溫度之此降低通常導致降低晶圓上會傷害處理中之 電子電路之電位。消除此電槳所引起之損壞之根源有助於 大幅改善該工具之操作窗。 經觀察在一些沉積電漿化學中’該桶磁場有助於減少 壁上之總聚合物沉積,從而減少自適用於更大晶圓之室中 所需之更大表面積所預期之室淸潔時間。 晶圓上之平均密度在決定所產生之處理結果上亦佔有 重要地位。由使用磁桶減少電漿在壁上之損失,此處所發 表之均勻控制機構大致不受平均密度之影響。 而且,晶圓處之非常低磁場方便所發表之均勻性控制 發明,此宜由置較高之磁場區於1開晶圓處達成。使用磁 桶有效利用由該來源所製造之密度故此非常有利。 由參考附圖及其後之討論,可更佳明瞭本發明之特色 及優點。圖1顯示本發明之一實施例之電漿處理系統 1 0 0,包含一示範之RF天線安排1 〇 2及一示範之上 磁鐵安排1 0 4。在圖1之例中,R F天線安排1 〇 2及 上磁鐵安排1 0 4顯示置於電漿處理室1 〇 6上方。如此 後所討論,R F天線安排1 〇 2及上磁鐵安排1 〇 4亦可 在其他位置。 R F天線安排1 〇 2顯示連接至一 R F電源1 1 2, 此可供應具有頻率在約〇 · 4 Μ Η z至約5 Ο Μ Η z範圍 中之頻率之R F能量給r ρ天線安排1 〇 2。該頻率範圍 ^ ^ 裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱)· -13- 478297 B7 五、發明說明(11) 更宜爲約1 Μ Η z至約3 Ο Μ Η z。在餓刻用之較宜實施 例中,供應至R F天線安排1 〇 2之R F頻率宜約爲 4 Μ Η ζ。 (請先閱讀背面之注意事項再填寫本頁) 圖1實施例之上磁鐵安排1 0 4包含二同心磁線圈, 二者攜帶在相反方向上流之d c電流。上磁鐵安排1〇4 顯示連接至一可變直流電流電源1 1 4,其構造在改變f共 應至上磁鐵安排1 0 4之電磁鐵線圈之直流電流之幅度及 /或方向,以改變區域1 1 0中之可控制磁場強度及佈局 之徑向變化,俾達成供特定處理之基體表面上之所需程度 之處理均勻性。 基體1 2 2顯示置於一基體支持安排1 2 4上方,此 連接至一偏壓R F電源系統1 2 8,以獨立控制撞擊於晶 圓上之充電微粒之能量。偏壓R~F電源1 2 8可供應具有 週期,但非需爲正弦之R F能量,頻率範圍約 0 · 3MHz至約50MHz,更宜約2MHz至約 3〇MHz ,且宜在約13 · 5MHz 。基體122代表 經濟部智慧財產局員工消費合作社印製 欲處理之工作件,此可代表例如欲蝕刻,沉積,或其他處 理之半導體基體,或欲處理而成爲平板顯示器之顯示板。 宜在使用磁桶,但並非絕對必需之一實施例中,應良好支 持基體於磁桶之最下部份內,以避免電漿處理混合物中之 任何軸向梯度,此可能發生於自磁桶部份至非磁性部份之 過渡區處所設置之變化限制高度附近。製造及成本方面可 決定處理期間中磁桶之實際範圍及基體之關係位置。 如顯示於圖1 ,電漿處理室1 〇 6顯示具有簡單大體 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 478297 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(θ 圓筒形狀。換言之,電漿處理室1 0 6之用以點燃及維持 基體處理用之電漿之部份宜由一單室構成,唯所討論之技 術可實施於多室反應器。宜無需一分開之電漿產生室,此 使室之製造複雜,並引起額外之電漿輸送問題(例如,需 要機構來適當輸送所產生之電漿自電漿產生室出來而至甩 以處理基體之處理室中)。而且,該室在基體上方之大致 垂直壁及簡單之圓筒形狀使室壁更容易製造,較不易沉積 微粒物質(此可剝落,最後污染基體),及簡化室淸潔問 題。構想壁中之一些曲線雖使反應器之設計複雜,但亦可 使用,且在室淸潔及處理上可有另外優點。單室,大致在 方位上對稱之電漿處理室之實際設計及形狀可取決於成本 ,服務之難易,及特定製造者之可製造性間之平衡。 在圖1中,R F天線安排]/0 2及上磁鐵安排1 0 4 爲非同平面,即此等沿電漿處理室之軸線1 〇 8在空間上 偏離,俾在電漿處理室內接近R F天線,交連窗/真空介 面安排1 0 2之區域1 1 0中,在可控制磁場強度及佈局 中誘導產生一徑向變化。圖2Α顯示可產生於圖1之室 1〇6內之一些示範磁場線,以方便電漿處理室內可控制 之磁場強度及佈局中之徑向變化。 現在,可控制之磁場強度及佈局中宜有一重要之徑向 變化,此刻意製造於改良之電漿處理系統中,且刻意構造 能對基體表面處之處理均勻性具有重要之影響。例如,圖 2 Α中之場線1 4 0顯示自具有半徑接近室1 4 6之半徑 1 4 4之一半之高磁場強度之一環分歧。由提供一機構用 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -15^· • -------------裝--------訂---------. (請先閱讀背面之注意事項再填寫本頁) 478297 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(θ 以變化在可控制之磁場強度及佈局中此刻意製造之徑向變 化,可細微調諧在基體表面上之處理均勻性至較之先行技 藝之電漿處理系統所可能者爲大之程度。 來自Β線圈之Β磁場範圍爲約0至1, 5 0 〇高斯, 更宜爲約0至約2 0 0高斯,或最宜爲約0至約5 0高斯 ,如在窗/真空介面附近所量度者。圖2 Β示範顯示另一 徑向分歧磁場佈局,此可在操縱圖1之電磁鐵線圈1 〇 4 中之d c電流時產生。在圖2 Β之例中,場線自與圖1相 當之軸線上之一高場區分歧。封閉及開放磁通線之確實佈 局可使用圖1之線圈1 〇 4控制。而且,該等場線角度之 變化範圍及絕對幅度由磁性線圈之確實設計決定。例如, 磁鐵可爲非同平面所製,以提供場線較之圖1所示者更指 向軸線之例。最佳之b線圏設計虡室直徑,磁桶之存在及 強度’天線,及反應器所設計之電漿處理區相互依賴。該 設計可依據本發明原理決定。徑向變化之典型佈局顯示於 圖2 C。在情形1 〇 〇 〇中,β場強度在軸線上具有一單 局部最大’而在情形1 〇 〇 2中,具有在有限半徑上之二 k寸稱位置之局部最大’及在軸線上之一局部最大。在本發 明中’此等型式之佈局變化可使用電磁鐵組件控制。 圖1亦顯示一磁桶安排1 3 2,此包含多個永久磁鐵 ,朝軸向圍繞電漿處理室之周邊。如所述,磁桶安排 1 3 2構造在保持電漿密度梯度離開基體,同時維持一非 常小之磁場在晶圓處。在圖1之示範實施例中,磁桶安排 1 3 2包含3 2永久磁鐵尖頭,其徑向磁化因數在室周圍 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) -16- j—一-------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 478297 A7 B7 五、發明說明(1今 交替(例如1^,3,1^,3,等)。然而,每室之實際尖 頭數可不同,視每一電漿處理系統之特定設計而定。 (請先閱讀背面之注意事項再填寫本頁) 尖頭數一般可充分高,以確保有一強電漿密度梯度離 開基體。然而,由於損失與室桶之其餘有關,在尖頭處最 高,故太多之尖頭會使密度加強降低。例如,真空-壁介 面處之約1 5 - 1,5 0 0高斯之磁場強度可適用於一些 處理。真空-壁介面處之磁場強度可更宜在約1 0 0高斯 及約1,0 0 0高斯之間。在真空-壁介面處之磁場強度 宜可約爲8 0 0高斯。應明瞭使用磁桶安排1 0 2提高晶 圓表面上之電漿均勻性,且可能並非所有處理均需要。然 而,如需要高度之均勻性,則加裝磁桶安排可非常有利。 經濟部智慧財產局員工消費合作社印製 在較宜之實施例中,R F天線安排宜置於R F交連窗 上方,俾有利於簡化該室及/或卞F交連窗及/或磁桶安 排之設計及建造。然而。構想在磁場強度及佈局中所需之 可控制徑向變化亦可由置R F天線安排於室上其他位置中 達成。例如,圖3 A顯示依本發明原理設計之一電漿處理 系統,此具有一 R F天線安排1 〇 2置於交連窗1 2 0之 周邊周圍。然而,宜置R F天線安排於由基體所形成之平 面上方,當基體置於處理用之電漿處理室內時。而且, R F天線應充分接近上磁鐵安排,以方便形成電漿於B場 強度及佈局中之可控制徑向變化之較高B場強度區鄰近。 在圖1之示範實施例中,使用具有3 D堆疊構造之一 R F天線,以促進方位上之對稱交連,此在基體上需要高 度處理均勻性時甚重要。然而,且應注意此3 D堆疊構造 -17 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)’ 478297 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明( 並非所有情形均需要。在許多情形,無需此一天線之固有 方位對稱交連特性(例如,在可接受之均勻性已由並不具 有固有方位對稱交連特性之天線’諸如平面螺旋天線達成 之情形)。可使用之另外R F天線安排之例可見之於圖 3 B (平面螺旋線圈),圖3 C (具有不同環數之R F線 線圈,各可具有不同之厚度),圖3D (具有垂直安排之 不同環數之R F天線線圈),圖3 E (包含多個個別驅動 天線之R F交連源)及圖3 F (圓頂天線,此可爲所示之 單線圈,或可包含一起驅動或具有多個獨立電源之多個線 圈)。而且,R F天線安排可具有不同型式之其他非軸線 包圍構形,諸如D形,多天線等。 有關所用之R F頻率,作爲一般準則,較低之R F頻 率,例如< 1 3MH z及在此等Ϊ具上所見之普通介質常 數及物理尺寸有助於使天線之任何固有方位不對稱交連特 性較不顯著。故此,較寬之天線行列構形可使用於較低之 RF頻率上。例如,當RF頻率低,例如4MH z時,可 使用平面螺旋天線,俾在改良之電漿處理室中達成高品質 之触刻。在較高之RF頻率,例如1 3MH z及以上,天 線之固有方位不對稱交連特性可更顯著,且可負面影響處 理均勻性。故此’在其交連特性上爲固有方位不對稱之天 線(例如,簡單之螺旋天線)可不適用於需要高度處理均 勻性之一些處理上。在此等情形,可保証使用具有固有方 位對稱交連特性之天線。 在較宜之實施例中,R F天線安排宜與電磁線圈,電 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)· -1S - l·---*-------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 478297 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(16) 漿處理室,及基體同軸。然而,此並非絕對必需。在無需 由同軸R F天線安排提供高度處理均勻性之一些處理,或 在使用不對稱泵之室中,R F天線安排可偏離此同軸構形 ,以對付室設計之不對稱。然而,刻意引進可控制徑向變 化之可控制磁場強度及佈局,及控制該可控制之磁場強度 及佈局中之此徑向變化之能力,以細微調諧基體表面上之 處理均勻性仍爲所需要。 至於R F天線安排之大小,R F天線安排之大小普通 宜(但非絕對必需)小於室之橫斷面,以保持電漿集中於 基體上方之區域中,並防止電漿過度擴散至室壁,此不利 地需要更多之功率來操作電漿處理系統,並增加壁腐蝕。 在圖1之示範改良之電漿處理系統中,磁桶安排置於室外 ,R F天線安排之足印宜保持於ώ磁桶安排所界定之區域 內,以減少電漿擴散至室壁。如磁桶安排置於室內(多個 磁鐵結構接近內壁,或一磁鐵結構置於基體軸線附近,以 推壓電漿密度梯度向室壁之任一形態),R F天線安排之 足印宜保持於接近室壁之高電漿密度梯度區內。 在圖1中,R F交連窗顯示爲平面形。然而,構想該 R F交連窗亦可具有其他形狀,諸如在示範之圖3 Α中, 交連窗材料亦向下延伸,以形成一帽,或在示範之圖3 G 中,窗爲圓頂形。圖3 Η顯示圓頂窗與圓頂天線合倂。注 意R F交連窗之半徑無需等於電漿處理室之半徑。 在較低之R F頻率(例如低於約1 3 Μ Η ζ ),天線 及電漿間之電容交連減小,此減小交連窗1 3 0之轟擊。 (請先閱讀背面之注意事項再填寫本頁) 裝 ----訂------ 禮· 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 478297 A7 B7 五、發明說明(Μ (請先閱讀背面之注意事項再填寫本頁) 由減小轟擊,可省除需要一半導體窗或使用法拉弟屏罩。 事實上,構想交連窗1 3 0可宜由介質材料,諸如s i C ,或A 1 xNy,或S i xNy構成,此亦可與S i C黏 合,使交連窗可更與室內之電漿環境相容。 圖1亦顯不一上磁鐵安排,此包含二同心之電磁線圈 。然而,應注意亦可設置多於二電磁線圏,尤其是如需要 精細程度之均勻性控制。雖此多線圈構形較宜,因其能在 可控制之磁場強度及佈局中製造所需之徑向變化,即使當 電磁線圏安排及R F天線安排爲同平面時亦然,然此並非 絕對需要。如所提,當電磁線圏安排及R F天線安排沿室 之軸線上非同平面時,亦可獲得在可控制之磁場強度及佈 局中之此徑向變化,而不管所含之線圈數。 經濟部智慧財產局員工消費合作社印製 一般言之,徑向分歧之磁場喃局可由改變供應至電磁 線圏中之d c電流之幅度及/或方向加以變化。如有多個 電磁線圈涉及,如在圖1之例中之情形,可操縱供應至有 關之多個電磁線圏中之一或更多直流電流之幅度及/或方 向,俾在基體表面上具有所需之均勻性影響。當然,亦可 依其他方法操縱可控制之磁場強度及佈局中之徑向變化。 例如,亦可由實體移動上磁鐵安排,使其繞與1 〇 8不同 之一軸線轉動,使其沿室軸線移動,使其在同平面中移動 ,及/或使上磁鐵安排傾斜,變化徑向變化之磁場佈局。 作爲另一例’ fe場線圈周圍之磁通板材料之引進及移動亦 可調整磁場佈局。 由於由操縱磁場強度及佈局(此主要產生於R F天線 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 478297 A7 B7 五、發明說明(叫 (請先閱讀背面之注意事項再填寫本頁) 安排1 0 2附近之區域1 1 0鄰近)之徑向變化方便均勻 性控制,故基體及上磁鐵安排間之距離爲一重要參數。此 乃由於磁場佈局之徑向部份之改變亦影響軸向部份之故。 在處理基體之期間中,在基體體表面上之磁場強度應相當 弱(即低於約1 5高斯),及在R F天線安排附近之軸向 位置應強(例如,真空-窗介面附近約1 5高斯至約 2 0 0高斯)。如場強度在晶圓鄰近之太大空間中太低, 則電漿幾乎接近不設置均勻性控制機構而可獲得之擴散輪 廓。雖此擴散輪廓在反應器之設計處理窗內之一些區域可 能充分均勻,但具有磁場強度及佈局中之可控制徑向變化 有關之優點大爲減小。 經濟部智慧財產局員工消費合作社印製 基於此考慮,該距離應充分小,俾當改變徑向變化之 磁場強度及佈局時,可操縱處理为勻性。然而,該距離不 應過度小,以免由於晶圓中流過之電流之改變,或在處理 中之晶圓上之蝕刻特色之改變(由處理期間中晶圓處之過 度磁場所引起或加強)而導致基體受損。而且,如來源至 晶圓距離太小,則軸向擴散開始主宰處理均勻性。故此, 控制均勻性所需之徑向變化尺長度變爲接近欲控制之非均 勻性之大小。此導致較非最佳之磁性設計,因爲磁場強度 及佈局中之局部徑向變化數增加,且需要控制精確度。換 言之,如室太小,其實際疆界控制處理均勻性至一程度, 即本發明原理之應用雖仍可行,但迫使設計過度複雜,且 所需之均勻性控制及前述其他真實燾命考慮,即成本,可 靠性等之間較非最佳之平衡。 -21 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 478297 經濟部智慧財產局員工消費合作社印製 A7 B7
五、發明說明(W 在較宜之實施例,基體及上磁鐵安排間之距離在一些 情形可由試驗決定,考慮可控制之磁場佈局之徑向分歧部 份及軸向部份之強度,及當d c電流供應至電磁線圈安排 ’以調諧處理均勻性時,避免基體過度受損之需要。在一 示範實施例,對設計用以處理具有直徑小於約4 7 0 m m 之半導體基體之基體處理室,適當之該距離爲2 0 c m。
在圖1之實施例,上磁鐵安排顯示置於R F交連窗上 方。然而,此並非絕對需要。圖4 A顯示一實施例,其中 ’至少一線圈1 0 4 a置於室1 〇 6之周邊周圍。圖4A 之實施例亦顯示使用亦非相互同平面之二線圈。雖此實施 例可適當使用,但任一需求(多線圈或非同平面)均非絕 對必需。注意在圖4 A中,線圏可視需要置於窗1 3 0上 方或下方。然而,線圏宜置於基體平面上方,並接近RF 天線,俾有效影響所製造之磁場強度及佈局中之徑向變化 〇 在較宜之實施例中,上磁鐵安排與天線,該室,及基 體同軸,以簡化電漿雲與基體對齊。然而,在一些電漿處 理室,例如使用非對稱泵者,或需要更複雜之磁場佈局者 ,構想上磁鐵安排宜偏離室軸線及/或基體軸線,以改善 處理結果。此等實施例之一顯示於圖4 B在此,線圈 1 0 4 a及1 〇 4 b之至少之一偏離室軸線。同樣,雖磁 鐵線圏顯示爲平面形,但此並非絕對必需,且構想圓頂或 其他3 D形線圏,諸如圖4 C所示者可使用,例如,以對 室內之磁場佈局另加整形。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) U----·-------裝--------訂--------1 (請先閱讀背面之注意事項再填寫本頁) 478297
五、發明說明(20) 經濟部智慧財產局員工消費合作社印製 而且,無需線圈直接覆蓋天線。例如,在圖4 D之實 施例中,電磁線圏1 〇 4 b顯示覆蓋r f天線4 0 2。然 而,在圖4 E之實施例中,情形並非如此。 而且’雖上磁鐵安排之較宜實施例爲電磁線圈,但構 想亦可使用充分強之永久磁鐵安排,例如由N d f e B或 β ni C 〇族磁性材料所製者,以刻意製造上述磁場佈局中 之徑向分歧。在永久磁鐵實施之情形,在磁場佈局中所產 生之徑向分歧可由如下改變,實際移動上磁鐵安排之部份 ’及/或設置適當之結構或磁性電路元件,例如置具有高 導磁率之一結構,作爲有效磁路於上磁鐵安排及交連窗之 間’以適當改變磁場線。同樣,可聯合使用鐵磁元件及電 磁鐵。 在圖4 F之實施例中,額外磁場產生器安排1 〇 4 c 亦可置於由基體所形成之平面下方,在室外或室內,以減 小磁場強度及/或進一步補償基體表面處之磁場強度及/ 或佈局之殘留變化。在此情形,可降低由於上磁鐵安排所 產生之磁場之覆蓋強軸向部份所引起之基體之可能受損。 雖在較宜實施例中顯示簡單性及對稱性,但預期由並 非圓形斷面(例如六角或方形或其他幾何形狀),或具有 軸線並非平行於主系統軸線之電磁線圏亦可達成同樣之均 勻性控制變化。 在圖1之示範電獎處理系統中,真空室,氣體栗,桶 形磁場,天線,及電漿處理室之磁鐵線圏宜與該室本身, R F天線安排,及基體之軸線同軸。雖此實施特別有利, λ----r--------裝--------訂---------^9. (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -厶ό - 經濟部智慧財產局員工消費合作社印製 478297 A7 B7 五、發明說明(21 ) 因其最佳利用普通基體處理及擴散特性之固有對稱,但可 有些情形,其中,真空室及磁性設計宜不與室軸線,R F 天線安排,及/或基體同軸。例如,一些電漿處理系統可 實施非對稱泵。在此等情形,由設計真空室及/或磁性設 計之特定程度之不對稱,以改正通過該室之氣體流之不對 稱’可提高均勻性。 而且,R F天線之足印無需大於上磁鐵安排之足印。 即是,上磁鐵安排之橫斷面無需小於R F天線之橫斷面。 R F天線安排及上磁鐵安排之相對大小可依需要設計,只 要二者構造可在處理室內鄰近電漿/窗介面處之磁場強度 及佈局中產生可控制之徑向變化即可。 如含有磁桶安排,如在較宜實施例之情形,爲提高一 些重要處理之處理均勻性,磁桶之設計亦重要。一般言之 ,磁桶安排應產生充分強之磁場,以迫使大部份之電漿密 度梯度離開基體,並接近室壁。在電漿處理室內真空/壁 介面處之磁場強度應較高,例如在1 5高斯及1,500 高斯之間,更宜在約1 0 0高斯及約1, 0 0 0高期之間 ,及在一實施例中,約爲8 0 0高斯。然而,在基體中心 處之磁場強度應保持低,例如低於約1 5高斯,且更宜低 於約5高斯。 雖圖1顯示磁桶安排包含磁鐵,此延伸於電漿處理室 之幾乎整個高度,但此並非必需。例如,圖5 A顯示一磁 桶安排,其磁鐵1 3 2並不自室頂端延伸至室底端。一般 言之,永久磁鐵之大小設計宜使磁桶安排所產生之磁場之 ---------—裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -Z4 - 478297 A7 B7____ 五、發明說明(22 ) (請先閱讀背面之注意事項再填寫本頁) 軸向梯度在基體表面之高度處保持低。在一實施例,磁桶 安排之磁性部份自基體上方(即室頂附近)延伸至基體平 面下方(例如下方1 . 5吋)之一位置,以大幅減小由磁 桶安排所產生之磁場線中之軸向梯度,或至最小。 雖此實施例高度有利且較宜,但構想在一些處理,可 使用具有室磁鐵(即磁桶安排之磁鐵)僅置於基體平面之 一面上之電漿處理系統。例如,如處理均勻性需求容許有 磁桶安排所產生之磁場線之一些軸向梯度存在,則室磁鐵 無延伸至基體平面之二面。作爲另一例,可具有二組室磁 鐵,基體平面之二面各有一組(諸如圖5 B中之組1 3 2 及1 8 0 ),以確保由磁桶安排所產生之磁場線之軸向梯 度在基體表面處保持低,同時迫使大部份電漿密度梯度離 開基體,並接近室壁。而且,雖圖1實施例顯示磁桶安排 之尖頭應在真空外,但此並非必需。例如,圖5 B之第二 組磁鐵(參考編號1 8 0 )顯示在真空內。 而且,雖使用永久磁鐵來實施較宜實施例中之磁桶安 排,但亦可使用電磁鐵來實施該磁桶安排。 經濟部智慧財產局員工消費合作社印製 如所提,雖本發明之一重要特色爲消除電漿產生室, 但明瞭雖該室可用以點燃,產生,及容納處理用之電漿, 但並無絕對必需保持基體於同一室中。如顯示於圖5 B, 可設置一額外室1 0 6 b,以容納保持基體及方便基體輸 送之支座。由使用一單室1 0 6 a來產生及維持處理工作 用之電漿,亦解決許多電漿及化學物輸送問題及室壁吸收 問題,且故此,並無絕對需要卡盤安排及排氣徑路亦置於 -25 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 經濟部智慧財產局員工消費合作社印製 478297 A7 ___B7 五、發明說明(2习 同室中。換言之,只要進行處理之基體表面曝露於用以產 生及維持處理用之電漿之單室之電漿中’且有一均勻性控 制鈕來細調徑向分歧之磁場佈局,則可達成高度有利之處 理結果。如亦使用一磁桶安排來迫使所產生之電漿之電漿 密度梯度離開基體表面並朝向室壁,則可達成高度均勻之 處理,即使卡盤安排及整個基體之一部份置於一室,及欲 處理之基體表面曝露於在另一室中供處理用所點燃及維持 之電漿中亦然。 而且,雖桶之磁鐵在方位上(例如沿室之軸線上)對 齊在室周圍交替之徑向磁化圖案,但構想磁化圖案可爲非 交替者。例如,該組磁鐵可分爲多個分組,每一分組可具 有同數或不同數之磁鐵。此可適於應付在特定室中所遭遇 之任何不對稱問題。而且,每一'磁鐵之軸線可在徑向以外 之方向上對齊。而且,本實施適於對付在特定室中所遭遇 之任何不對稱問題。 在一特別有利之實施例,可使磁桶之一些或所有磁鐵 在其軸線上轉動’以改變磁化圖案。此實施之一顯示於圖 6 C。或且或另外,個別磁鐵之幅度可由實際移動磁鐵, 或改變流過磁鐵之電流量(例如,如涉及電磁鐵)加以改 變 〇 而且’雖圖1之實施例顯示磁桶安排之尖頭應爲軸向 ’但此並非絕對必需。例如,一些或所有尖頭可構製成棋 盤圖案(例如圖6 A )或方位圖案(例如圖6 B ),其中 ’各磁鐵可與基體之平面平行設置。在一些電漿處理系統 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ------ L---.--------衣--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 478297 A7 ______B7 五、發明說明(2今 ’此等安排可爲適當,因爲由於一些電漿處理系統之特殊 ’此等能確保電漿密度梯度最大程度離開基體。 (請先閱讀背面之注意事項再填寫本頁) 依據本發明之一實施例,圖7顯示一簡單流程圖,顯 示有關由改變可控制磁場強度及/或佈局之徑向變化控芾ij 處理均勻性之步驟。在步驟7 0 2,提供一單室,大致在 方位上對稱之電漿處理室。如所提,此室用以點燃及維持 處理用之電漿,從而省除去需用一分開之電漿產生室及其 有關之電漿輸送問題。在步驟7 0 4,設置R F天線。在 步驟7 0 6,設置並安排上磁鐵安排,俾R F天線及上磁 鐵安排一起引起可控制磁場強度及/或佈局之重要徑向變 化。 在步驟7 0 8,置基體於處理用之電漿處理室內。在 步驟7 1 0,反應氣體(例如沉~積源氣體或蝕刻源氣體) 流進電漿處理室中,並由其點燃電獎。在步驟7 1 2,設 定在R F天線鄰近之可控制磁場強度及/或佈局之徑向變 化於一設定,以提高基體表面上之處理均勻性。該設定可 在處理前完成於一預定之設定,或可在電漿處理期間中即 時完成,以調諧處理之均勻性。 經濟部智慧財產局員工消費合作社印製 如精於本藝之人士可明瞭,本發明可容易以主動,時 間依賴之方式修改,以控制處理均勻性,俾可完全控制較 之無均勻性控制爲廣大之處理區域上之晶圓處理。例如, 預期本發明電漿處理系統中可使用較廣大範圍之電漿密度 (例如約1 0 9至約1 0 1 3離子/ c m 3 ),因爲磁桶使源 能量可非常有效用以產生及維持電漿,及上磁鐵安排使處 表紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) - 27: 經濟部智慧財產局員工消費合作社印製 478297 A7 B7 五、發明說明(29 理均勻性控制可維持於整個電漿密度範圍。同樣,預期可 成功使用較廣大範圍之壓力(例如< 1 m τ至約1〇〇 m T )於處理基體上,因爲磁桶使電漿可在較低壓力上維 持。如此,可使用一單反應器於具有廣大處理窗之不同處 理上,此使處理工程師具有先行技藝之電漿處理系統所無 之彈性自由。 而且,構想可使用一適當之反饋機構,以即時監視基 體上之處理均勻性,且亦即時修改可控制磁場強度及佈局 中之徑向變化,俾達成所需之最佳處理均勻性結果。或且 或另外,可撥動可控制磁場強度及佈局中之徑向變化至不 同之設定,俾對特定鈾刻處理中之不同蝕刻步驟達成正確 之均勻性控制。注意此等設定可使用或不用反饋機構執行 ,且可在蝕刻通過一單薄膜,或蝕刻自一薄膜進行至另一 薄膜之期間中執行。在不用反饋機構之情形,可事先經由 試驗或其他確定各步驟之適當均勻性設定,並在蝕刻期間 中使用。 雖已以若干較宜實施例說明本發明,但在本發明之範 圍內可有改變,變化,及等效者。例如,雖在整個較宜實 施例中使用蝕刻,以簡化討論,但應明瞭該均勻性控制應 適用於任何半導體處理程序,例如沉積。故此,以下申請 專利範圍應解釋爲包括在本發明之精神及範圍內之所有此 等改變,交換,及等效者。 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐)· -28^ --------------------訂·-------- (請先閱讀背面之注意事項再填寫本頁)

Claims (1)

  1. 478297 A8 B8 C8 D8 ‘、申請專利範圍 1 . 一種用以處理基體之電漿處理系統,包含: 一單室,大致在方位上對稱之電漿處理室,其內點燃 (請先閱讀背面之注意事項再填寫本頁) 及維持處理用之電漿,電漿處理室無分開之電漿產生室及 具有上端及下端之電漿處理室; 一交連窗,置於電漿處理器之上端; 一 R F天線安排,置於由基體界定之一平面上方,當 該基體置於處理用之電漿處理室內時;1 一電磁鐵安排,置於由基體界定之該平面上方,電磁 鐵安排構造在當至少一直流電流供應至電磁鐵安排中時, 導致電漿處理室內在接近交連窗及天線之區域中之可控制 磁場發生徑向變化,該徑向變化有效影響基體上之處理均 勻性; 一 d c電源,連接至電磁藏安排,該d c電源具有一 控制器用以變化至少一直流電流之幅度,從而改變電漿處 理室內在接近天線之區域中之可控制磁場之徑向變化,以 提高基體上之處理均勻性。 2 ·如申請專利範圍第1項所述之電漿處理系統,其 中,R F天線安排置於交連窗上方。 經濟部智慧財產局員工消費合作社印製 3 ·如申請專利範圍第1項所述之電漿處理系統,其 中,R F天線安排及電磁鐵安排在空間上沿電漿處理室之 軸線上偏離,以產生可控制磁場中之徑向變化。 4 ·如申請專利範圍第1項所述之電漿處理系統,其 中,電磁鐵安排包含至少二電磁鐵。 5 ·如申請專利範圍第2項所述之電漿處理系統,其 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐.) -- 478297 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 中’電磁鐵安排包含二同心電磁鐵線圈,二同心電磁鐵線 圈之第一個構造在攜帶一第一方向之第一直流電流,二同 心電5放鐵線圈之第二個構造在攜帶與該一第一方向相反之 一第二方向之第二直流電流。 6 ·如申請專利範圍第1項所述之電漿處理系統,其 中,交連窗代表一介質窗。 7 ·如申請專利範圍第1項所述之電漿處理系統,其 中,交連窗大致爲非平面形。 8 ·如申請專利範圍第1項所述之電漿處理系統,其 中,交連窗大致爲平面形。 9 ·如申請專利範圍第1項所述之電漿處理系統,其 中,天線大致爲平面形。 1〇·如申請專利範圍第Γ項所述之電漿處理系統, 其中,天線大致爲非平面形。 1 1 .如申請專利範圍第1項所述之電漿處理系統, 其中,基體代表一半導體晶圓。 1 2 ·如申請專利範圍第.1項所述之電漿處理系統, 其中,基體代表用於平板顯示器製造上之玻璃或塑膠板。 1 3 ·如申請專利範圍第1項所述之電漿處理系統, 其中,R F天線安排大致對電漿處理室之軸線成對稱。 1 4 ·如申請專利範圍第1項所述之電漿處理系統, 其中,與電漿處理室關聯且對電漿處理室內之電漿密度分 佈具有重要影響之結構構造大致對電漿處理室之軸線成對 稱0 本紙張尺度適用中國國家標準(CNS)A4規格(21〇χ 297公餐·) -30- •-Γ —---------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 478297 A8 B8 C8 D8 六、申請專利範圍 1 5 ·如申請專利範圍第1項所述之電漿處理系統, 其中,電漿處理室之一輸入埠大致對電漿處理室之軸線成 對稱。 1 6 ·如申請專利範圍第1項所述之電漿處理系統, 另包含一可移動卡盤安排,該可移動卡盤安排構造可移動 於用以裝載及卸載基體之一第一位置及用以處理基體之一 第二位置之間。 1 1 7 ·如申請專利範圍第1項所述之電漿處理系統, 另包含一磁桶安排圍繞電漿處理室之周邊設置。 1 8 ·如申請專利範圍第1項所述之電漿處理系統, 另包含一磁桶安排圍繞電漿處理室之周邊設置,具有大致 對稱之磁通板系統包圍磁桶安排。 1 9 ·如申請專利範圍第Γ 8項所述之電漿處理系統 ,其中,該對稱磁通板系統包含一磁通板連續圍繞磁桶安 排之外周邊設置,磁通板接近磁桶安排之磁性元件。 2〇·如申請專利範圍第1 7項所述之電漿處理系統 ,其中,磁桶安排包含多個永久磁鐵置於電漿處理室外, 並大致與其軸線平行。 2 1 ·如申請專利範圍第2 0項所述之電漿處理系統 ,其中,多個永久磁鐵僅延伸於電漿處理室之上端及下端 間之高度之一部份。 2 2 .如申請專利範圍第1項所述之電漿處理系統, 其中,該處理包含蝕刻該基體。 2 3 ·如申請專利範圍第1項所述之電漿處理系統, 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) V---------^9— 經濟部智慧財產局員工消費合作社印製 -31 - 478297 A8 B8 C8 D8 六、申請專利範圍 另包含: 一基體支持座,構造在處理期間中支持基體; (請先閱讀背面之注意事項再填寫本頁) 一第一 R F電源接至基體支持座,第一 R F電源可與 連接至R F天線安排之一第二R F電源相互獨立控制。 2 4 ·如申請專利範圍第1項所述之電漿處理系統, 其中,改變可控制磁場之徑向變化包含改變可控制磁場之 一幅度部份。 ^ 2 5 ·如申請專利範圍第1項所述之電漿處理系統, 其中,改變該可控制磁場之徑向變化包括改變可控制磁場 之一佈局部份。 2 6 ·-種在使用電漿加強處理法處理基體之期間中 用以控制處理均勻性之方法,包括: 提供一電漿處理室,具有一單室,大致在方位上對稱 之構造,在基體處理之期間中在其內點燃並維持電漿,電 漿處理室無分開之電漿產生室; 提供一交連窗,置於電漿處理系統之上端; 提供一 R F天線安排,置於由基體所界定之一平面上 方,當該基體置於處理用之電漿處理室內時; 經濟部智慧財產局員工消費合作社印製 提供一電磁鐵安排,置於由基體所界定之該平面上方 ,電磁鐵安排構造在當至少一直流電流供應至電磁鐵安排 中時,導致電漿處理室內在接近交連窗及天線之區域中之 可控制磁場中產生徑向變化’該徑向變化有效影響基體上 之處理均勻性; 提供一 d c電源,連接至電磁鐵安排; -32- 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公爱_) 經濟部智慧財產局員工消費合作社印製 478297 A8 B8 C8 D8 六、申請專利範圍 置基體於電漿處理室中; 流進反應氣體於電漿處理室中, 自反應氣體中擊出電漿;及 改變電漿處理室內接近天線之區域中之該可控制磁場 中之該徑向變化,以提高基體上之處理均勻性。 2 7 .如申請專利範圍第2 6項所述之方法,另包含 提供一磁桶安排圍繞電漿處理室之周邊設置。 2 8 .如申請專利範圍第2 6項所述之方法,其中, 置基體於電漿處理室中包括置基體於一位置,此減少由磁 桶安排所產生之磁場線中之軸向梯度至最低程度。 2 9 ·如申請專利範圍第2 6項所述之方法,其中, 改變該徑向變化包含改變直流電流之幅度,改變直流電流 之方向,移動R F天線之一,與~基體相對移動電磁鐵安排 ,及在電磁鐵安排鄰近移動磁通板材料團之一。 3 0 ·如申請專利範圍第2 9項所述之方法,其中, 改變可控制磁場中之徑向變化代表改變直流電流之幅度。 3 1 ·如申請專利範圍第2 9項所述之方法,其中, 改變可控制磁場中之徑向變化代表與基體相對移動電磁鐵 安排之一。 3 2 ·如申請專利範圍第2 9項所述之方法,其中, 改變可控制磁場中之徑向變化代表與電磁鐵安排相對移動 磁通板材料團。 3 3 . —種用以處理基體之電漿處理系統,包含: 一單室,大致在方位上對稱之電漿處理室,其內點燃 .-------裝--------訂--------- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐·) -33- 經濟部智慧財產局員工消費合作社印製 478297 A8 B8 C8 D8 六、申請專利範圍 及維持處理用之電漿,電漿處理室無分開之電漿產生室, 及電漿處理室具有上端及下端; 一交連窗,置於電漿處理器之上端; 一 R F天線安排,置於由基體所界定之一平面上方, 當該基體置於處理用之電漿處理室內時; 一 R F電源,連接至R F天線; 一第一磁鐵安排,置於由基體界定之該平面上方,磁 鐵安排構造在由該磁鐵安排發出磁場線而導致電漿處理室 內在接近交連窗及天線之區域中之可控制磁場中發生徑向 變化,該徑向變化有效影響基體上之處理均勻性; 一基體支持安排,構造在處理之期間中支持基體於電 漿處理室內; 一第二RF電源,連接至基~體支持安排,該第二RF 電源可與第一 R F電源相互獨立控制; 改變裝置,用以改變電漿處理室內在接近天線之區域 中之可控制磁場中之徑向變化,以提高基體上之處理均勻 性。 3 4 ·如申請專利範圍第3 3項所述之電漿處理系統 ,其中,該改變裝置代表用以與基體相對移動磁鐵安排及 R F天線之一之一安排° 3 5 .如申請專利範圍第3 3項所述之電漿處理系統 ,其中,該磁鐵安排包含至少一電磁鐵線圈,及該改變裝 置代表用以改變供應至至少一電磁鐵線圈之直流電流之幅 度之一安排。 .frill— —-------------訂"----I — — — (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐·) -34 - 478297 A8 B8 C8 D8 六、申請專利範圍 3 6 ·如申請專利範圍第3 3項所述之電漿處理系統 ’其中’該改變裝置代表用以移動磁鐵安排鄰近之磁通影 響材料團之一安排。 3 7 ·如申請專利範圍第3 3項所述之電漿處理系統 ’另包含一磁桶安排圍繞電漿處理室之周邊設置。 3 8 ·如申請專利範圍第3 7項所述之電漿處理系統 ’其中’該磁桶安排包含多個永久磁鐵置於電漿處理室外 ’並大致與其軸線平行。 3 9 ·如申請專利範圍第3 8項所述之電漿處理系統 ’其中’多個永久磁鐵僅延伸於電漿處理室之上端及下端 間之高度之一部份。 4 0 ·如申請專利範圍第3 3項所述之電漿處理系統 ,其中,電漿處理室大致爲圓筒形狀。 4 1 ·如申請專利範圍第3 3項所述之電漿處理系統 ,其中,電漿處理室具有至少一曲線形之側壁。 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 -35- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公愛)
TW089124186A 1999-11-15 2000-11-15 Improved plasma processing systems and methods therefor TW478297B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/439,661 US6341574B1 (en) 1999-11-15 1999-11-15 Plasma processing systems

Publications (1)

Publication Number Publication Date
TW478297B true TW478297B (en) 2002-03-01

Family

ID=23745623

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089124186A TW478297B (en) 1999-11-15 2000-11-15 Improved plasma processing systems and methods therefor

Country Status (10)

Country Link
US (1) US6341574B1 (zh)
EP (1) EP1230666B1 (zh)
JP (1) JP4704645B2 (zh)
KR (1) KR100768019B1 (zh)
CN (1) CN1253918C (zh)
AT (1) ATE353472T1 (zh)
AU (1) AU1918801A (zh)
DE (1) DE60033312T2 (zh)
TW (1) TW478297B (zh)
WO (1) WO2001037315A1 (zh)

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
US6320320B1 (en) 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
US6744213B2 (en) 1999-11-15 2004-06-01 Lam Research Corporation Antenna for producing uniform process rates
ATE394789T1 (de) * 1999-11-15 2008-05-15 Lam Res Corp Behandlungsvorrichtungen
US6322661B1 (en) 1999-11-15 2001-11-27 Lam Research Corporation Method and apparatus for controlling the volume of a plasma
US6518705B2 (en) 1999-11-15 2003-02-11 Lam Research Corporation Method and apparatus for producing uniform process rates
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7067034B2 (en) * 2000-03-27 2006-06-27 Lam Research Corporation Method and apparatus for plasma forming inner magnetic bucket to control a volume of a plasma
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US6893907B2 (en) 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7288491B2 (en) * 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US7303982B2 (en) * 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7094316B1 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Externally excited torroidal plasma source
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7320734B2 (en) * 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US6633132B2 (en) * 2001-01-23 2003-10-14 Wafermasters Inc. Plasma gereration apparatus and method
DE10147998A1 (de) * 2001-09-28 2003-04-10 Unaxis Balzers Ag Verfahren und Vorrichtung zur Erzeugung eines Plasmas
JP2003323997A (ja) * 2002-04-30 2003-11-14 Lam Research Kk プラズマ安定化方法およびプラズマ装置
US20030230385A1 (en) * 2002-06-13 2003-12-18 Applied Materials, Inc. Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6842147B2 (en) * 2002-07-22 2005-01-11 Lam Research Corporation Method and apparatus for producing uniform processing rates
KR100465907B1 (ko) * 2002-09-26 2005-01-13 학교법인 성균관대학 자장이 인가된 내장형 선형 안테나를 구비하는 대면적처리용 유도 결합 플라즈마 소오스
KR100421249B1 (ko) * 2002-11-19 2004-03-04 박장식 스퍼트링 자기회로 제작
US6876155B2 (en) 2002-12-31 2005-04-05 Lam Research Corporation Plasma processor apparatus and method, and antenna
US7355687B2 (en) * 2003-02-20 2008-04-08 Hunter Engineering Company Method and apparatus for vehicle service system with imaging components
US7022611B1 (en) 2003-04-28 2006-04-04 Lam Research Corporation Plasma in-situ treatment of chemically amplified resist
KR100523851B1 (ko) * 2003-05-07 2005-10-27 학교법인 성균관대학 대면적처리용 내장형 선형안테나를 구비하는 유도결합플라즈마 처리장치
US8974630B2 (en) * 2003-05-07 2015-03-10 Sungkyunkwan University Inductively coupled plasma processing apparatus having internal linear antenna for large area processing
US7075771B2 (en) * 2003-05-21 2006-07-11 Tokyo Electron Limited Apparatus and methods for compensating plasma sheath non-uniformities at the substrate in a plasma processing system
US7190119B2 (en) * 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7527713B2 (en) * 2004-05-26 2009-05-05 Applied Materials, Inc. Variable quadruple electromagnet array in plasma processing
US7686926B2 (en) * 2004-05-26 2010-03-30 Applied Materials, Inc. Multi-step process for forming a metal barrier in a sputter reactor
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
JP2006073790A (ja) * 2004-09-02 2006-03-16 Tokyo Institute Of Technology プラズマエッチング装置
US7666464B2 (en) * 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US7428915B2 (en) * 2005-04-26 2008-09-30 Applied Materials, Inc. O-ringless tandem throttle valve for a plasma reactor chamber
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7335611B2 (en) * 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7312148B2 (en) * 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US7704887B2 (en) * 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7800082B2 (en) * 2006-02-15 2010-09-21 Varian Semiconductor Equipment Associates, Inc. Electromagnet with active field containment
KR20090106617A (ko) * 2007-01-19 2009-10-09 어플라이드 머티어리얼스, 인코포레이티드 플라스마 함침 챔버
JP5097074B2 (ja) * 2008-09-30 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5410950B2 (ja) * 2009-01-15 2014-02-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
CN102396052B (zh) * 2009-02-06 2014-06-18 佳能安内华股份有限公司 等离子体处理装置、等离子体处理方法以及包括待处理基板的元件的制造方法
US20120021136A1 (en) * 2010-07-20 2012-01-26 Varian Semiconductor Equipment Associates, Inc. System and method for controlling plasma deposition uniformity
KR101479143B1 (ko) * 2010-11-30 2015-01-05 캐논 아네르바 가부시키가이샤 플라즈마 처리 장치
CN102354798A (zh) * 2011-08-17 2012-02-15 华南理工大学 一种磁控波束可变的等离子体天线阵列
US9396955B2 (en) 2011-09-30 2016-07-19 Tokyo Electron Limited Plasma tuning rods in microwave resonator processing systems
US8808496B2 (en) * 2011-09-30 2014-08-19 Tokyo Electron Limited Plasma tuning rods in microwave processing systems
US9728416B2 (en) 2011-09-30 2017-08-08 Tokyo Electron Limited Plasma tuning rods in microwave resonator plasma sources
US9111727B2 (en) 2011-09-30 2015-08-18 Tokyo Electron Limited Plasma tuning rods in microwave resonator plasma sources
JP6138143B2 (ja) 2012-11-02 2017-05-31 キヤノンアネルバ株式会社 半導体装置の製造方法
CN103839742A (zh) * 2012-11-28 2014-06-04 中微半导体设备(上海)有限公司 用于等离子体处理器的磁场分布调节装置及其调节方法
JP6008771B2 (ja) * 2013-01-21 2016-10-19 東京エレクトロン株式会社 多層膜をエッチングする方法
KR101629214B1 (ko) * 2013-11-29 2016-06-13 서울대학교산학협력단 자장 제어를 통한 플라즈마 쉐이핑이 가능한 플라즈마 처리 장치
JP6126155B2 (ja) * 2015-03-31 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、プログラムおよび基板処理装置
KR101881534B1 (ko) * 2016-02-04 2018-07-24 주식회사 테스 플라즈마를 이용한 금속산화물이 포함된 탄소막의 형성 방법
JP7091196B2 (ja) 2018-09-04 2022-06-27 キオクシア株式会社 プラズマ処理装置および半導体装置の製造方法
WO2024070562A1 (ja) * 2022-09-30 2024-04-04 東京エレクトロン株式会社 プラズマ処理装置

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR920002864B1 (ko) 1987-07-20 1992-04-06 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리방법 및 그 장치
GB8905075D0 (en) 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US5032205A (en) 1989-05-05 1991-07-16 Wisconsin Alumni Research Foundation Plasma etching apparatus with surface magnetic fields
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5421891A (en) 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5429070A (en) 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5091049A (en) 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JP3126405B2 (ja) 1991-04-30 2001-01-22 株式会社日立製作所 スパッタデポジション装置
JPH05267237A (ja) 1992-03-23 1993-10-15 Nippon Telegr & Teleph Corp <Ntt> プラズマ・ダメージ低減法およびプラズマ処理装置
US5226967A (en) 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
JPH06251896A (ja) * 1992-12-28 1994-09-09 Hitachi Ltd プラズマ処理方法及び装置
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JP3365067B2 (ja) * 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5540800A (en) 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US6022446A (en) * 1995-08-21 2000-02-08 Shan; Hongching Shallow magnetic fields for generating circulating electrons to enhance plasma processing
US5810932A (en) * 1995-11-22 1998-09-22 Nec Corporation Plasma generating apparatus used for fabrication of semiconductor device
TW303480B (en) 1996-01-24 1997-04-21 Applied Materials Inc Magnetically confined plasma reactor for processing a semiconductor wafer
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5669975A (en) 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
US6189484B1 (en) * 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source

Also Published As

Publication number Publication date
JP4704645B2 (ja) 2011-06-15
KR100768019B1 (ko) 2007-10-18
DE60033312D1 (de) 2007-03-22
AU1918801A (en) 2001-05-30
WO2001037315A1 (en) 2001-05-25
EP1230666B1 (en) 2007-02-07
DE60033312T2 (de) 2007-11-22
CN1421043A (zh) 2003-05-28
KR20020060969A (ko) 2002-07-19
ATE353472T1 (de) 2007-02-15
US6341574B1 (en) 2002-01-29
CN1253918C (zh) 2006-04-26
JP2003514389A (ja) 2003-04-15
EP1230666A1 (en) 2002-08-14

Similar Documents

Publication Publication Date Title
TW478297B (en) Improved plasma processing systems and methods therefor
TW494434B (en) Materials and gas chemistries for processing systems
TW521298B (en) Method and apparatus for plasma forming inner magnetic bucket to control a volume of a plasma
KR100238627B1 (ko) 플라즈마 처리장치
TW492042B (en) Method and apparatus for varying a magnetic field to control a volume of a plasma
JP4903610B2 (ja) プラズマ処理装置
JP2003514389A5 (zh)
TW511398B (en) Apparatus and method to control the uniformity of plasma by reducing radial loss
US20040168771A1 (en) Plasma reactor coil magnet
JP2005019968A (ja) 高密度プラズマ処理装置
KR20090005763A (ko) 플라즈마 발생장치
CN103168506A (zh) 用于形成磁场的装置及所述装置的使用方法
CN111183504B (zh) 制造过程中的超局部和等离子体均匀性控制
JPH06267903A (ja) プラズマ装置
KR100806522B1 (ko) 유도 결합 플라즈마 반응기
KR100976552B1 (ko) 밀도 조절이 가능한 플라즈마 발생 장치
KR100844150B1 (ko) 플라즈마 처리 장치 및 방법
KR100748871B1 (ko) 균일한 자계분포를 갖도록 하는 적응형 플라즈마 소스 및이를 포함하는 플라즈마 챔버
TWI406336B (zh) 高密度電漿產生器
JP3973855B2 (ja) プラズマ処理方法及び装置
KR20070099854A (ko) 플라즈마 소스 에싱장치
KR20090022117A (ko) 유도 결합 플라즈마 소스가 내장된 히터 및 이를 구비한플라즈마 처리 챔버
KR102553385B1 (ko) 기판 처리 장치
KR20090073327A (ko) 고밀도 원격 플라즈마 처리 장치
KR100980288B1 (ko) 자기 조절 메커니즘을 구비한 플라즈마 처리 장치

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent