TW476097B - Inert barrier for high purity epitaxial deposition systems - Google Patents

Inert barrier for high purity epitaxial deposition systems Download PDF

Info

Publication number
TW476097B
TW476097B TW089113085A TW89113085A TW476097B TW 476097 B TW476097 B TW 476097B TW 089113085 A TW089113085 A TW 089113085A TW 89113085 A TW89113085 A TW 89113085A TW 476097 B TW476097 B TW 476097B
Authority
TW
Taiwan
Prior art keywords
interrupter
processing area
ring
processing
patent application
Prior art date
Application number
TW089113085A
Other languages
English (en)
Inventor
Lominie Romain Beau De
David K Carlson
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW476097B publication Critical patent/TW476097B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Description

A7 ----~___ 五、發明說明() — 發明領祕 本發明係關於半導體處理設備,4争別是關於使用一惰 (請先閱讀背面之注意事項再填寫本頁) 〖遮斷H 以絕緣一 〇形環及防止處理區域之〇形環 染。 發明背景: 經濟部智慧財產局員工消費合作社印製 目前用於半導體工業之設備設法藉由自200mm外徑基 材轉移至諸如300mm等更大的基材外徑,以獲得增多之產 I ^較大基材外徑必需增加氣體流動及能量輸入需求,使 其具有在較小外徑基材上獲致之相同處理結果。在此同 時,增加之產量尋求沉積循環之延長。然而,增加沉積循 環直接正比於特別在彼等使用週期性情況循環之處理區域 之增加清洗循環。使用週期性清洗之一過程範例,為磊晶 碎之沉積。在一磊晶矽反應器中,一制式清洗循環因其包 含加熱處理區域至大約1200 r且射出鹽酸HC1而尤為費 事。被較大基材需要之增加能量需求以及期望更久清洗循 環之組合,限制了現存反應器之設計。諸如最内部〇形環 或彼等最接近處理區域之Ο形環之外曝元件,特別對增加 之需求勉為其難。在制式反應器中,此等0形環提供處理 室之壓力密封,且同時外曝於沉積及清洗循環之熱量及化 學作用。 第1圖為一雙圓蓋處理反應器之典型範例,其為加州 Santa Clara市應用材料公司(Applied Materials, Inc·)所銷售且適用 於矽薄膜之化學氣相沉積(CVD)之EPI艙體。在此圖式 第4頁 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) " 476097 經濟部智慧財產局員工消費合作社印副农 A7 B7 五、發明說明() 中,一 CVD反應器1〇包含一圓頂12、一圓底16以及共同界 定一處理區域18之側壁14、15,而諸如矽晶片20之單一或 多層基材可裝填其内。晶片20安裝於一磁化器22上,其可 以驅動器23旋轉以提供呈圓筒形對稱之晶片20之時段平均 環境。石英環118配列於側壁14、15以及磁化器22之間。 預熱環24被石英環118支撐且圍繞磁化器22。 晶片20、預熱環24以及磁化器22被多數支安裝於處理 區域18外側之電燈26加熱。圓頂12、圓底16及插入件ι18 由於其同時穿透可見及紅外線頻率光線而基本上用石英製 作’同時由於其在艙體之處理環境下呈化學穩定,因而展 現相當高之強度。側壁14及15包含夾環40及42,其被用以 緊固圓頂及圓底12及16至基環44上。夾環40及42以及基環 44基本上由不銹鋼製作。 側壁14及15之結構以及其與處理區域18之關係,可參 照第2圖顯見。第2圖概示側壁14、15及插入件118之放 大圖式。Ο形環50、52、54及56被用以構成上夾環40及基 環44間之密封,俾可形成圓頂12及處理區域18間之壓力密 封。此外,Ο形環50、52、54及56之排置,適可結構性地 支撐圓頂12,同時中和負荷及熱應力。Ο形環50及54間以 及0形環52及56間之接近直接垂直對正,表示圓頂12僅受 略微懸臂式負荷之壓縮。圓頂12既不與上夾環40亦不與基 環44接觸。因此,間隙存在於圓頂12及上夾環40以及基環 44之間。 圓底16亦被類似地支撐。〇形環58及60被用以形成下 第5頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ϋ I n n 1 n ·.1 n n 1« · ϋ n n ϋ n n n 一-口+ · n i-i n 1-1 n n n I {請先閱讀背面之注意事項再填寫本頁) 476097 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 夾環42及基環44與圓底16間之密封。圓底16好似圓頂12不 與支撐其之側壁元件接觸。間隙存在於圓底16及環件42與 44之間。間隙亦存在於石英插入件118及圓頂及圓底12及 Ιό之間。 再次參照第1圖,處理氣體(不論反應劑或摻雜劑或 清洗劑)自一由兩個氣槽28概示之外供應源供應至處理區 域18 °氣流沿一氣體供應管30自氣體供應件28經由一氣體 進入口 32流入處理區域18。氣體自進入口 32流經一側壁14 及15内之通道,以及位於石英插入件U8内之通道。氣體 自插入件118在箭頭34方向流過橫跨磁化器22及晶片20之 預熱環24而經由排出口 36自區域18排出。一泵浦源或其他 排放管系統為了自處理區域18排出氣體及副產品目的而與 排出口 36互連。縱然晶片20旋轉以及由來自電燈%熱量造 成之熱升降度確實稍微地影響流動形態,但氣體流動形態 之支配性形狀,被自氣體輸入口 18廢薄而越過預熱環24及 晶片20到達排出口 36。 上述CVD處理室可適應多數種不同過程。每一過程隨 期望之末端結果不同而異,同時具有與其有關之不同考 量。在聚矽沉積過程中,摻雜或未摻雜矽層基本上採用諸 如低壓化學氣相沉積(CVD)過程而沉積於晶片上。在此一 過程中,一包含矽源(諸如矽烷、雙矽烷、二氯矽烷、三 氯矽烷或四氯化矽)及選擇性之一摻雜氣體(諸如磷化 氫、破霜或雙硼酸鹽)之混合物,被加熱通過晶片而在其 表面上沉積一矽薄膜。在某些情況中,一諸如氫氣之非反 第6頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -------♦---I --------訂--------- (請先閱讀背面之注意事項再填寫本頁) 476097 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明() 應載送氣體’亦同時與任一或兩者反應或摻雜氣體注入處 理室内。在此一過程中,沉積矽之結晶學本性,隨沉積溫 度而變。在大約600它之低反應溫度下,沉積之碎經常呈 無定形;當採用大約65〇 t及8〇〇 t之較高沉積溫度時, 將沉積非結晶矽及聚矽之混合物或單獨之聚矽。 處理區域18可於完成每次沉積過程後或是一系列沉積 順序後加以清洗。在一標準式鹽酸基週期清洗循環中,一 艙室清洗循環於每1〇至2〇 # m之矽沉積於反應器10内進 行°清洗循環於自艙體1〇中移去順序中最後晶片後進行。 當搶體内無晶片存在時,感測器溫度升高至大約12〇〇 C , 而一鹽酸及氫氣混合物供應至處理區域18。鹽酸打碎成形 於處理區域18内之矽沉積為揮發性副產品,其自處理區域 18經由排出口 36排出。 目前CVD反應器之一難題,在於〇形環5〇、52、54、 56、58及60在沉積及清洗過程中,因長期外曝於使用於處 理區域18内之化學作用、溫度及壓力而變質。上述鹽酸清 洗過程之標準式移除率,大約為2 /分鐘。諸如彼等在 清洗間具有大約20 # m沉積之較長沉積順序,可提供較高 產量但增加外曝至鹽酸及1200 t溫度之長度,因而同樣Z 增快0形環之變質及失效。變質或失效之〇形環,導致處 理區域18及成形其内之薄膜之污染,同時減損過程環境之 控制,諸如減損壓力控制。 在處理區域18内之缺少污染或純度等級之量
沉積於區域18内之未摻雜磊晶矽薄膜之阻浐香、aI 仇I /列。由於碎 -------‘——f鼋—— (請先閱讀背面之注意事項再填寫本頁) 訂---------線· A7 B7
476097 五、發明說明() 具有一大於800歐姆-公分等級之原本高阻抗,低於800 歐姆-公分之阻抗量測,表示某些種類之污染存積於處理 區域内。初始在區域18内期望高等級純度,俾使摻雜劑可 更確定地納置而提供摻雜之矽薄膜特定之阻抗能力。諸如 彼等第1及2圖中使用之Ο形環,基本上限制於大約20 “ m之沉積循環或持續小於大約30分鐘之在1200 °C時之鹽酸 清洗循環。由於其直接外曝於區域18中,此等〇形環(56及 58)之變質或失效,導致處理區域污染,因而導致20至3〇歐 姆-公分等級之阻抗。 舌人需要一種處理設備,其可藉由加長一 Ο形環密封 之反應器在清洗之間超過20 /z m沉積之處理生產能力而克 服以往技術缺點。該一反應器可加長高溫磊晶沉積循環而 可沉積大約20 或更厚之沉積薄膜。該一反應器可延長 鹽酸清洗期限,同時亦可防止以Ο形環為主之污染到達處 理區域。 發明目的及概述: 本發明之一態樣為一種具有一處理室之改良式半導體 基材處理設備,其具有一第一構件、一第二構件,以及一 處理區域;一真空密封於該第一及該第二構件之間,俾在 該處理區域内具有一壓力控制環境;以及一配列於該第一 及第二構件間之遮斷器,其將該密封與該處理區域分開, 該遮斷器概略地不與在該處理區域内進行之過程反應。 本發明之另一態樣為一種用以沉積矽之改良式設備, 第8頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------i---u· I --------訂---------線 f請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 476097 A7 B7
經濟部智慧財產局員工消費合作社印利农 五、發明說明() 其包含一具有一第一元件、一第二元件以及一處理區域之 處理室;一介於該弟一及第一元件之間而可在該處理區域 内具有壓力控制過程之0形環;以及一線型物件,包含膨 脹之聚六氟乙烯,該物件在該處理區域内概略對溫度、壓 力及化學環境呈惰性,該物件具有一第一刻痕端、一適可 與該第一刻痕端連接之第二刻痕端、一厚度及一長度,該 線型物件當該第一刻痕端與該第二刻痕端互連時,圍繞該 處理區域,因而該惰性物件將該處理區域與該0形環分 本發明另一態樣為一用以沉積矽之改良式設備,其包 含一具有一圓頂、一圓底、一基環以及一連接及分離該圓 頂及該圓底之夾環之處理室,其中該圓頂、圓底、基環及 夾環界定一處理區域,一配列於該處理區域内之感測器、 多數個照明該感測器之電燈、以及一鄰靠該感測器配列之 石英襯裡;一配列於該基環及每一該圓蓋之間之密封,以 及介於該夾環及該圓蓋内每一該圓蓋間之密封,該基環及 該夾環可壓縮地與該密封接觸,俾可在該處理區域内具有 一壓力控制環境;以及配列於每一該密封與該處理區域之 間之遮斷器,其中該遮斷器可防止來自該密封之污染物到 達該處理區域,其中該密封及該遮斷器配列於成形於該夾 環及該基環内之溝槽中。 圖式簡單說明: 第1圖為以往技術CVD(化學氣相沉積)處理反應器之剖面 第9頁 本紙張尺度剌中國國家標準(CNS)A4規格(210 X 297公f ) --------*----^1¾-------- tr---------線 (請先閱讀背面之注意事項再填寫本頁) 476097
經濟部智慧財產局員工消費合作社印制π 五、發明說明() 圖。 第2圖為以往技術CVD反應器側壁之剖面圖。 第3圖為根據本發明CVD處理反應器側壁之剖面圖。 第4圖為根據本發明惰性遮斷器之透視圖。 第5圖為本發明惰性遮斷器之上下視圖。 第6圖為第5圖惰性遮斷器冬a面之立面圖。 第7圖為根據本發明惰性遮斷器重疊區域之放大初態視圖 B 〇 第8圖為根據本發明惰性遮斷器重疊區域之放大初態視圖 B 〇 第9圖為在一未受荷位置時之側壁剖面圖。 第10圖為在一受荷或裝妥位置時之側壁剖面圖。 第11圖為一安裝於艙體内0形環之溫度時間函數圖,其根 據本發明具有一遮斷層。 第12圖為一系列在反應器中處理之晶片之阻抗對應膜厚 圖’其根據本發明具有一惰性遮斷器。 第13圖為一系列在反應器中處理之晶片之阻抗對應膜厚 圖,其根據本發明具有一惰性遮斷器。 發明詳細說明: 本發明指導使用一惰性遮斷器物件,用以延長主艙體 0形環壽命,同時防止0形環接觸半導體處理反應器處理 區域之污染。 本發明具體細節可自第3圖中更易認知,其為一處理 第10頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) ------->---%—--------訂----- ----線舞 (請先閱讀背面之注意事項再填寫本頁) 476097 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 反應器15側壁之剖面圖,並根據本發明具有惰性遮斷器 62、64、66及68。處理反應器15為一雙圓蓋氣室式CVD反 應器,其類似以往技術之處理反應器1〇 ;因此,共用元件 將以相同參考數字表示。 圓頂12被壓縮力固定,該力藉由〇形環50,52,54及 56之上夾環40及基環44產生。〇形環配列於成形於上炎環 40、下夾環42及基環44表面内之溝槽内。〇形環由最可與 半導體處理及各種負荷情況共容之材料製作。具代表性之 0形環材料為梦以及諸如鐵氟龍、Viton、Kalrez、以及各種 鐵氟龍濃縮Ο形環混合物之聚氟混合物。圓頂12不與上失 環40或基環44接觸。 圓頂12接觸但不與石英環118密封。一惰性遮斷器62 鄰靠Ο形環52配置,而一惰性遮斷器64鄰靠〇形環56配 置。惰性遮斷器62及64當安裝時,圍繞處理區域18。由於 石英插入件118及圓頂12間沒有密封,因此惰性遮斷器64 外曝於處理區域18之處理氣體及化學件中。然而由於遮斷 器64構築於圓頂12及基環44之間,〇形環56未外曝於存於 區域18内之處理化學件内❶此外,任何由〇形環56釋出之 污染件無法通過遮斷器64,因而避免污染處理區域18。尤 其針對Ο形環56而言,遮斷器物件64鄰靠Ο形環56配列, 其圍繞處理區域18具介於處理區域18及Ο形環56之間。 圓底16被由〇形環58及60上下夾環42及基環44產生之 壓縮力固定。圓底16未與下夾環42或基環44接觸。石英環 118接觸但未與圓底16表面密封。一惰性遮斷器66鄰靠〇 第11頁 本紙張尺度適用中國國家標準(CNS)A4規恪(210 X 297公釐) I n *1 II ϋ i_n 1 n l · n n ·ϋ I— emt in ϋ 一 口 f · ϋ n ft— l n n ·ϋ I 線Ψ f請先閱讀背面之注音?事項再填寫本頁} 476097 A7 B7 五、發明說明() 形環58配列,而一惰性遮斷器68鄰靠〇形環6〇配列。惰性 遮斷器66及68在當安裝時,圍繞處理區域18。由於在石英 插入件118及圓底16間沒有密封,因此惰性遮斷器66外曝 於處理區域18之處理氣體及化學件中。然而由於遮斷器66 構築於圓底16及基環44之間,因此0形環58未外曝於存於 區域18内之處理化學件内。此外,任何由〇形環58釋出之 污染物不會通64,因此免於污染處理區域18。尤其針對〇 形環58而言,遮斷器物件66鄰靠Ο形環58配列,其圍繞處 理區域18,同時介於處理區域18及Ο形環58之間。 Ο形環56及58在鄰靠每一 Ο形環之艙體構件間,形成 主要之真空密封。0形環56在圓頂12及基環44間形成一密 封,而Ο形環58在圓底16及基環44間形成一密封。由〇形 環56及58形成之密封,可使得真空、大氣壓力以及高壓操 作在處理區域18内進行。在磊晶矽之沉積過程中,處理壓 力範圍可自大約10托(Torr,塵力單位)至1000托。基本壓 力針對大氣壓力過程而言為760托,而針對減低之壓力過 程而言為介於20托與100托之間。 0形環50、52、54及60被用以在圓頂及圓底12及16上 均勻分佈夾環及基環之壓縮及負荷力。0形環50、52、54 及56亦被作用為處理區域18之次級壓力密封。因此,所有 密封及負荷力均由〇形環50、52、54、56、58及60生成。 如圖所示,本發明具代表性之雙圓蓋氣室反應器實例,為 成形於上及下夾環40及42及基環44内之分離溝槽,用以容 納本發明之Ο形環及遮斷器物件。基環44提供分離之支撐 第12頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) I n n n ϋ n ϋ n *n n 1« Λψί (請先閱讀背面之注意事項再填寫本頁) ---訂---------線 經濟部智慧財產局員工消費合作社印製 476097 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 0形環及密封〇形環,諸如溝槽70内容納初級之密封0形 環56及遮斷器64,而溝槽72内容納支撐及次級密封〇形環 54。基環44亦提供在溝槽78中所見之組合式密封及支撐〇 形環’其容納次級密封〇形環58及遮斷器66。上夾環40被 概示為一分離式支撐及密封0形環設計,其包含一可容納 支撐及密封〇形環50之溝槽76,以及可容納一初級密封〇 形環52及一遮斷器62之溝槽74。下夾環42被概示為一組合 式密封及支撐〇形環,其包含一可容納密封及支撐〇形環 60及一遮斷器68之單一式溝槽8〇。第3圖實例中所示之〇 形環及遮斷器位置以及溝槽形態,僅為代表性之〇形環及 遮斷器位置以及溝槽設計形態。具一般技術者將認知在不 偏離本發明之精神下,可以採用各種之0形環及遮斷器位 置以及溝槽尺寸設計。彼等變通設計及形態,將根據本發 明遮斷器用於其内之反應器尺寸及型式而變。 雖然在壓縮力下,惰性遮斷器62、64、66及68均不被 視之為圓頂及圓底12及16之負荷、分配或支撐。此外,惰 性遮斷器62、64、66及68不被依賴以提供處理區域18之壓 力密封。實際上,在一類似單獨使用遮斷器62、64、66及 68(亦即典任何〇形環)之反應器15之處理反應器内進行之 測試,無法提供適當之壓力控制以維持於處理區域18内之 足夠密封。由於單獨之惰性遮斷器物件62、64、的及诏無 法適當地密封半導體處理反應器,因此處理區域以之壓力' 密封’在夫環40及42以及基環44之壓縮力下,可由配列於 圓頂及圓底12及16間之密封及支撐〇形環提供。 第13頁 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐 (請先閱讀背面之;i意事項再填寫本頁) 訂---------線辦. 476097 A7 B7 五、發明說明() 惰性遮斷器62、64、66及68提供與0形環之絕緣,俾 防止在處理區域18内產生之熱對流。在處理區域18及一 0 形環間定位一遮斷器之一理由,為來自處理區域18之熱氣 體,將僅接觸遮斷器而不接觸鄰靠之〇形環。0形環仍舊 接收經由圓蓋氣室12及16傳送之來自電燈28輻射之相當熱 能,以及來自側壁14不銹鋼元件之傳導熱。此外,由於在 石英圓蓋氣室12及16以及插入件118間存有間隙,因此惰 性遮斷器64及66與用於處理區域18内之沉積物及清潔氣體 接觸。由於惰性遮斷器64及66已在基環44以及頂蓋12及底 蓋16之間形成有效之遮斷器,因此0形環58、54及56不外 曝於在沉積過程中使用之高溫磊晶矽沉積化學件,尤其重 要者為其不外曝於在清洗過程中使用之高溫鹽酸(HC1)化學 件。 用於惰性遮斷器62、64、66及68之材料,宜實質上不 與用於處理远域18中之化學件、溫度及壓力反應或呈惰 性。該一材料宜可持續外曝於介於950 °C至1250 °C範圍間 之感測溫度以及基本上在磊晶矽沉積過程中採用之反應源 氣體。此外,該一材料宜可長期外曝至1200 °C之感測溫度 以及HC1基之清洗化學件。該一材料宜可同時承受長期外 曝於磊晶矽沉積及清洗循環而無退化或污染產生。基本上 在第3圖之舱室15中,一 1200 °C之感測溫度導致在遮斷器 物件62,64,66及68附近之介於大約280 °C至480 °C間之 溫度。此外,物質宜相對一 〇形環及處理區域18間之任何 路徑定位,使得〇形環污機物不致到達處理區域18。例 第14頁 本纸張又度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填冩本頁) --------訂·-------- 經濟部智慧財產局員工消費合作社印製 476097 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 如,遮斷器64之定位恰可堵塞,且0形環54及56至圓頂12 及石英插入件118間隙之路徑而進入處理區域18。 具有超級抗熱及抗化學特性而適用為遮斷器物件之材 料為聚四氯乙烯(PTFE) 。PTFE可在1976年4月27日授與 Gore之美國專利第3,953,566號中指導之膨脹多孔型式中生 產。合適之遮斷器材料亦可如美國專利第5,494,301號及第 5,492,336號所述,藉由以一高強度之膨脹PTFE薄膜包覆一拉 長或膨脹PTFE心體而以限定之長時期蔓延以生產,而該兩 項專利均受讓予W.L. Gore公司。一種適用為遮斷器物件之 材料,為一種具有高度多向性張力之膨脹型聚四氯乙晞, 諸如可取自W丄· Gore公司,其產品名稱為G〇re bg。 第4圖概示根據本發明一實例之膨脹式PTFE遮斷器物 件。雖然下面之概示實例參考遮斷器64說明,然而遮斷器 62、66及68具類似形狀,同時使用於根據本發明之處理反 應器15内。如第4圖所述,一遮斷器64已被切割成一直線 形連續件,其具有含長(1)、寬度(w)及厚度(t),以及適配 之互連端A及B。PTFE遮斷器當使用於根據本發明之處理 反應器内時展現收縮現象。因此,遮斷器尺寸1 、…及^ 可具效益地選用以隨處理艙室操作結果而補償遮斷器之尺 寸改變。例如,沿長度1之收縮,可藉由滑動及適配之互 連端A及B調節。 適配之互連端A及B在其對立側上被刻痕成一大約遮 斷器64全寬一半或l/2w之凹痕寬度。寬度w寬到足以使得 1/2 w為一有效之遮斷器。寬度1/2w如第8圖所述搭配適配 第15頁 本紙張尺度適用中國國家標準(CNS)A4規格 (請先閱讀背面之注意事項再填寫本頁) 訂--------- 476097
經濟部智慧財產局員工消費合作社印製 五、發明說明() 之互連端A及B使用。厚度t之選用可在遮斷器及鄰靠元 件間保持足约之接觸,俾形成一有效之遮斷器。遮斷器物 件尺寸1 、w&t之選用,可使得物件在遮斷器安裝其内 之處理反應器之操作條件下,提供一有效之〇形環遮斷 第4圖中之遮斷器64長度,表示在第一溫度或大約% °F或29.4 °C之周遭溫度,或是當反應器15未操作時在晶片 處理設施内 < 彼等周遭條件時之最初預縮長度。在一較佳 實例中,其反應器15為一可處理300 mm基材之雙圓蓋氣室 CVD反應器,遮斷器64宜具有一大約64吋之初始長度,其 具有長方形剖面或是厚度(t)及寬度㈣均為〇 285吋之剖 面。雖然下述實例參考遮斷器64相對〇形環56之位置說 明,然而下列說明亦可應用至遮斷器62、66及68以及〇形 環 52、58 及 60 〇 第5圖概示本發明一具代表性遮斷器64之上下視圖, 其宜在一外圍條件下安裝於一反應器15内。當安裝於反應 器15時’遮斷器64環繞處理區域。具代表性處理反應器15 之内徑D大約為20.3吋,可以處理300 mm之基材。第6圖 為第5圖之A-A視圖,說明具代表性遮斷器64之長方形剖 面形狀。雖然概示形狀為長方形剖面,但通曉本技術者將 認知在不偏離本發明精神下,可以採用諸如圓形、橢圓 形、多邊形或其他適合形狀之其他剖面形狀。 第7圖概示第5圖之一放大視圖B。在外圍條件下或 在遮斷器64之任何收縮之前,適配互連端a及B間之初始 第16頁 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -----—f—t----------------- (請先閱讀背面之注意事項再填寫本頁) 476097 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 重疊長度(L0〇 ,與端點A及B之凹痕部位長度共置。換言 之,在一外圍或預縮條件下,遮斷器64將在遮斷器之全長 上或處理區域18之整個周緣上,將處理區域18與鄰靠〇形 環分離寬度w。在一具代表性遮斷器64中,初始重疊長度 L〇i大約為3吋’其相符於每一適配互連端a及b之長度。 第8圖概示第5圖中遮斷器64外曝於在處理區域18内 進行之沉積及清洗操作後之放大視圖。由於沿著遮斷器64 長度收縮之故,第8圖中概示之重疊區域已減小至w或最 終重疊長度。最終重疊長度表示當適配之互連端A及B自 第7圖所示之初始位置移至其第8圖所示之代表性最終位 置時,產生之仍舊重疊而提供處理區域18及一鄰靠〇形環 間總長度Lr ’或是減少寬度之長度之適配凹痕端A及B部 位。因此’ Lr A表示關於適配互連端A之長度減少量。同樣 地,Ltb表示關於適配互連端B之長度減少量。與以及h相 關連之遮斷器64部位,表示其中只有大約一半遮斷器64初 始寬度仍存留處理區域18及一鄰靠〇形環間之遮斷器64部 位。因此,遮斷器物件初始寬度w必須足夠,俾使大約一 半W之減少厚度,仍可提供適合之遮斷器物件能力。 在一具代表性實例中,其中搶體15為300 mm雙圓蓋氣 室CVD反應器且遮斷器64之初始未收縮長度⑴大約為64 吋,則其初始重疊(1>。〇大約為3吋。當遮斷器物件64產生 收縮後,最終重疊長度(Ly大約為1.5吋。在另一種情況 下,代表每一相關適配互連端A及B之Lr總和的減少厚度 (Lr)總長大約為1.5叫*。另一所欲品質之遮斷益物件64為沿 第17頁 本紙張尺度適用中國國豕標準(CNS)A4規格(210 x 297公釐) —----1.—>» --------訂·-------- (請先閱讀背面之注意事項再填冩本頁) 476097 五 經濟部智慧財產局員工消費合作社印製 A7 - 一 B7 、發明說明() 著長度之優先收縮,並沿著物件厚度及寬度之收縮減少至 無。遮斷器64之安裝適可使得初始之收縮心軸圓周式地對 正處理區域18 ,俾使沿著遮斷器料長度之所有收縮,如以 上針對第7及8圖所描述者,由適配之互連端a及8補 償。 具代表性遮斷器64之安裝及使用,可藉由參照第9及 10圖更佳地判知。第9圖表示置放石英圓蓋12且安裝上夾 環40之前之〇形環54及56以及遮斷器64在基環44上之位 置。雖然概圖中配置基環44及圓頂12,類似過程及尺寸需 求可應用至上夾環40内之〇形環5〇、52及遮斷器62 ;基環 44下表面内之〇形環58及遮斷器66 ;以及下夾環42内之〇 形環60及遮斷器68。遮斷器64鄰靠〇形環56配列在一成形 於不銹鋼基環44内之溝槽70中。不需使用黏合劑將遮斷器 64與基環44或〇形環56黏固。更緊要者為任何黏合劑因將 挫敗由遮斷器64之凹痕可滑動互連端採用之自由度而不宜 使用。遮斷器64可滑動至連端A及B期欲在溝槽70内自由 地沿處理區域18周緣移動,俾沿其長度補償遮斷器64之收 縮’同時確保鄰靠〇形環及處理區域間之適當分離。另提 供外加溝槽以容納其他負荷及密封用Ο形環及遮斷器。例 如,支撐及密封0形環54配列於溝槽72内,而〇形環56鄰 靠遮斷器64配列於溝槽70内。溝槽72及70均成形於基環44 内。 溝槽70具有與反應器15尺寸,基環44總厚度以及Ο形 環54,56之剖面直徑共容之深度(d)。一旦溝槽深度確定 第18頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) I n 1 n n I ϋ l ft ϋ n (請先閱讀背面之注意事項再填寫本頁) 訂---------線φ- 476097 Α7 Β7 五、發明說明() 後’遮斷器之初始或未受荷厚度t被選定以確保上下遮斷 器表面與遮斷器置放其間之構件間之充分接觸。遮斷器材 料負荷條件提供足夠之壓縮,俾確保遮斷器將〇形環與處 理區域分開。初始或未受荷厚度t亦補償發生於厚度t尺 寸内之遮斷器收縮。厚度t之選定,恰可使得當收縮發生 於t尺寸時,遮斷器材料仍以足夠之接觸填滿構件間之分 攤2間’俾提供一有效之遮斷器。其結果為當遮斷器物件 在ί方向收縮時,遮斷器仍舊不動,同時將鄰靠之〇形環 與處理區域分開β 在一具代表性實例中,其中反向鏈路負載15為一可處 理300mm直徑工件之CVD雙圓蓋氣室反應器,且遮斷器64 具有一 0.285吋未受荷厚度t ,則其溝槽70具有大約〇 215吋 之深度。一適合之遮斷器物件具有之初期未受荷厚度,宜 為待安裝溝槽70深度之1.3至ι·5倍❶因此’第9圖之具代表 性實例,概示一 0.215吋之溝槽深度,以及一 0.285吋厚度之 初始遮斷器’其導致一遮斷器為其相關溝槽深度大約132 倍之厚度。外加之溝槽成形於上夾件40,基環44及下失件 42内’俾類似地置放〇形環5〇、52、54 ' 58及6〇,以及遮 斷器物件62、66及68。 在第10圖概示之受荷條件下,上夾環4〇及基環44提供 壓縮力至Ο形環50、52、54及56中,藉以支撐圓頂12。在 第10圖之受荷條件下,不銹鋼基環44及石英圓頂12間仍保 有間隔75。在第10圖之具代表性實例中,間隔乃為〇 〇2 吋。一類似空間存在於上夾環4〇與圓頂12之間。雖然第1〇 第19頁 本紙張尺度適用中國國家標準(CNS)A4規烙(210 x 297公餐1" (請先閱讀背面之注意事項再填寫本頁) 訂--------- 經濟部智慧財產局員工消費合作社印製 A7
476097 五、發明說明() 圖中未曹顯示,然而在圓底16同與基環44及下夾環似間存 有空間。由於夾環40與基環44間之壓縮負荷之故,圓頂12 由0形環50、52、54及56支撐。雖然未被用以具備一用於 處理區域18之壓力密封,然而遮斷器以及料亦被壓縮其初 始厚度。例如,第1〇圖之遮斷器64具有大約〇 235吋厚度, 但其初始之未受荷厚度大約為〇 285吋。此代表一稍微之壓 縮力。雖然不足以密封,然而此一力量足以經由溝槽70而 在圓頂12及基環44間,沿著遮斷器64寬度確保完全足夠之 接觸’用以確保在〇形環56及處理區域18間,形成一有效 之遮斷器。 採用本發明遮斷器層之處理室,呈現較高之生產力及 改良之處理窗口。例如,僅使用〇形環之以往技術系統 (即舱體10),受限於三個持續2〇 # m之沉積循環,接著為 持續大約30分鐘之單一 12〇〇它之HC1(鹽酸)清洗。此外, 經過上面沉積及清洗順序後在以往技術反應器中產生之磊 晶矽阻抗’為20-30歐姆-公分(Q -cm) ^ 一般而言,大多 數商用蟲晶矽處理需求無法接受阻抗低於2〇〇歐姆-公分 之原有矽。在此一情況下之該一低阻抗,直指〇形環之退 化’以及處理區域18内之可能失效或其他污染。 現在參考第11、12及13圖,可較佳觀之使用本發明遮 斷器層之處理反應器之隔絕及防污特性。第U圖概示一在 反應器15中進行之應力測試,用以評估本發明遮斷器物件 之改良隔絕特性。圖形表示一溫度讀數,其取自鄰靠遮斷 器物件64且與處理區域18分離之〇形環56。測試包含到達 第20頁 本紙張又度適用中國國家標準(CNS)A4規格(210 X 297公餐) --------·---rl·^ (請先閱讀背面之注意事項再填寫本頁) 訂--- 着丨 經濟部智慧財產局員工消費合作社印^^ 476097 A7 B7 五、發明說明() 大約2600吋段之六次持續磊晶矽沉積過程,接著為自大約 2600吋段持續至大約46〇0吋段,或大約33分鐘之持續12〇〇 °C HC1清洗。緊接此一持續HC1清洗之後,為外加之六次 磊晶矽沉積循環。由圖形所示,一用以搭配本發明遮斷器 物件之Ο形環,在長期之1200。(:清洗後,外曝於大約235 t之最高溫度下。 第12圖及13表示沉積於一反應器15内之原有磊晶碎薄 膜之阻抗,其具有如同第3圖所述安裝之本發明遮斷器。 在第12圖及13中所示之每一測試中,三個晶片被持續處 理,其中每一晶片接收至少10 V m之蠢晶梦沉積。提供隨 單位為/z m之薄膜厚度變化之以歐姆-公分量測之阻抗或 純度。沉積磊晶薄膜表面之阻抗,表示為0 /z m深度之量 測。與阻抗量測技術有關之表面效應,可導致低於量測薄 膜表面處之實際阻抗量測。在了解此等表面效應衝擊中, 阻抗一般被視之為「大於」量測之表面阻抗。 第12圖表示三個連續磊晶矽沉積序列之阻抗量測,其 中至少10# m之磊晶矽沉積於每一基材上。三個在第12圖 中被處理具量測之基材,在上述第11圖中經歷之應力試驗 前處理。最低阻抗性或最少純度磊晶薄膜在第三順序過程 中沉積,其中最後薄膜具有大於550歐姆-公分之阻抗。 相當佳品質之矽,被沉積於第一及第二沉積順序上,其中 測得之阻抗大於5000歐姆-公分及大於7500歐姆-公分。 第13圖概示三個連續10 /z m沉積順序在經歷第11圖及 上述應力試驗後之阻抗量測。如第13圖所示,最低阻抗性 第21頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ------------·-- (請先閱讀背面之注意事項再填寫本頁)
HOT 經濟部智慧財產局員工消費合作社印製 476097 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 或最少純度矽,大於大約450歐姆-公分’同時在應力試 驗後之第一沉積順序過程中沉積。沉積之第二及第三薄 膜,分別獲得大於1300歐姆-公分及大於6500歐姆-公分 之阻抗。 由第11圖、12及13所示之結果,顯示一採用根據本發 明遮斷器物件之處理反應器’可由於因遮斷器物件提供〇 形環之絕緣效益而加長初級0形環之密封壽命,其縱然在 一最被需要之3〇分鐘1200 °C鹽酸清洗循環過程中,協助保 持低於250 °C之初級〇形環溫度。縱然當產生〇形環變質 時,應力試驗後量測之阻抗,顯示當沉積於處理區域18内 之薄膜純度保持高檔時,免於接觸處理區域18之污染。第 13圖提供本發明遮斷器防污品質之證明,而獲自薄膜之高 阻抗量測,在當一反應器已經歷上面第11圖所述之應力試 驗後,沉積於具有本發明遮斷器之該反應器内。 一般而言,處理薄膜阻抗需求(如同第12及13圖中量 測者),針對一已知應用而言,基本上為設計之阻抗需求 之大約5至10倍之間。因此,一需要大約10至20歐姆-公 分阻抗之裝置或應用,需要介於大約50至200歐姆-公分 間之處理薄膜阻抗量測值。縱然在經過應力試驗後,具有 本發明遮斷器之反應器15,沉積阻抗大於400歐姆-公分 之高純度磊晶薄膜。 上述具有本發明遮斷器之反應器15,可適應多種不同 之處理過程。本發明優點可自内含磊晶矽沉積及清洗順序 之概圖中顯現。當沉積及清洗操作同時經歷大約1000 °C溫 第22頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐) .—-—--------訂--------- (請先閱讀背面之注意事項再填冩本頁) 476097 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 度且最佳之經歷大約1100至1200 °c之溫度時,磊晶矽處理 操作尤為藏辛。在某些情況中,清洗順序採用溫度高至 1225 C之處理步驟。因此,由於最後之沉積及清洗循環產 生羞晶處理設備之持續高溫操作需求,磊晶矽沉積顯現特 定之困難。 在一具代表性之磊晶矽化學氣相沉積循環中,一基材 20置放於一雙圓蓋處理反應器15之感測器22上,其包含根 據本發明之遮斷器。當放置基材於感測器22上後,感測器 22 ’基材20及預熱環24被多數個高亮度之電燈26加熱至— 適當之沉積溫度。具代表性磊晶矽沉積處理視採用之氣體 源不同而在大約950 °C至1250。(:之間進行。例如,四氣化 矽SiCU具有大約950 °C至1250 °C之間之沉積溫度。驅動器 23可經旋轉以提供呈圓角形對稱之基材20之平均時段環 境。沉積氣體自一外部源實經由供應管線30及艙體入口 32 注入處理區域18。反應氣體混合物基本上包含碎源,諸如 但不限定為矽烷、雙矽烷、雙氯矽燒、三氯矽烷以及四氯 化矽。諸如氫氣之非反應載送氣體,與反應氣體一同注入 處理室内。 沉積氣體自入口 32流過預熱環24,感測器22及基材2〇 至排出口 36,接著流至排放系統。雖然沉積僅限於基材2〇 上,但沉積亦會產生於足夠熱而造成沉積反應之其他餘體 上。例如,沉積在諸如下面之表面上產生:預熱環24、未 被基材20覆蓋之感測器22邊緣,圓頂及圓底12及16,而在 某些反應器設計中,沉積於感測器22及預熱環24之背側表 第23頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公f ) --------‘---.-------I--訂--- (請先閱讀背面之注意事項再填寫本頁) 476097 經濟部智慧財產局員工消費合作社印製 A7 五、發明說明( 在’儿積循%中’遮斷器64防止進入襯裡1 18及圓頂12 間間隙 < 處理區域18内之加熱沉積反應物到達0形環56。 同樣地,遮斷器66防止進入襯裡118及圓底16間間隙之處 二區域18内之加熱沉積反應物到達0形環58。在磊晶矽沉 積、#中,遮斷器62、64、66及68亦提供與處理區域18内 高溫之熱絕緣。 、匕外由於遮斷器64及66具實效地置於〇形環及處理 區域8、間,因此由〇形環產生之顆粒或其他污染物,免 於進入處理區域18以污染沉積於基材2〇上之薄膜。同樣 地,遇斷器62可防止由〇形環5〇及52產生之顆粒或污染物 到達且可能地塗層或其他不利地影響反應器15頂.部電燈% 傳运〈輕射能量進入處理區域18。同樣地,遮斷器沾可防 止由〇形% 60產生之顆粒或污染物到達且可能地塗層或其 他不利i也影響反應器15底冑電燈26傳送之輕射能量進入處 理區域】.8。 沉積循環重複於每一待處理之基材上。在一標準之磊 晶沉積順序中,多數個基材將被處理,接著在處縣⑽ 内執行單一之清洗順序以移去在沉積循環過程中聚集之沉 積物。尤其注意者為一商用型沉積及清洗順序,其中大約 2〇 β m之磊晶矽沉積之後為一持續大约5至1〇分鐘間之單 一長期鹽酸基清洗循環。當最後基材之沉積順 處理區域移出後,在感測器22上量測之處理區域Μ溫产, 升高^約謂t。接著,鹽酸經由入口32注入處^域 18。氣態鹽酸在處理區域18内游離,同時與矽沉積物及其 第24頁 匕紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐 ---------—.—--------^--------- (請先閱讀背面之注意事項再填寫本頁) 476097 A7 -- ~ -----—- B7__— " ---------- --- - 五、發明說明() 他存積物反應而形成揮發性副產品。此等揮發性副虞品循 序地自處理區域18經由排出口 36排出。 藉著沉積過程,遮斷器64及66由於直接與清洗過程中 採用之南溫氣體接觸之故而防止密封〇形環56及58之變 塵。尤其關於清洗過程,遮斷器64及66防止因〇形環56及 58與在清洗循環中用於處理區域18内之反應清洗劑間接觸 而導致之化學侵蚀。在基本上用於磊晶反應器之12〇〇 t鹽 酸清洗中,一可用之高反應清洗劑為氯氣。 在清洗過程中,遮斷器64避免處理區域18内進入襯裡 118及圓頂12間間隙之加熱清洗氣體到達〇形環56。同樣 地’遮斷器66避免處理區域18内進入襯裡U8及圓底16間 間隙之加熱清洗氣體到達〇形環58。遮斷器62、64、66及 68亦提供與用於處理區域18内高鹽酸清洗溫度之熱絕緣。 此外’由於遮斷器64及66具效益地置於〇形環及處理 區域18閘,因此由〇形環產生之顆粒或其他污染物,被防 止進入處理區域18且防止干擾清洗過程。如果〇形環5〇及 52開始變質且產生顆粒或污染物時,則遮斷器62將防止彼 等顆粒或污染物到達且潛在地塗層或是其他不利地在處理 區域18内,衝擊反應器15頂部來自電燈26之傳送輻射能 量。如果0形環60開始變質且產生顆粒或污染物時,則遮 斷器68將防止彼等顆粒或污染物到達且潛在地塗層或是其 他不利地在處理區域18内,衝擊反應器15底部來自電燈26 之傳送輻射能量。 雖然上面係根據羞晶碎沉積所述,但其他處理操作可 第25頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ' ^ (請先閱讀背面之注意事項再填冩本頁) 訂------- 經濟部智慧財產局員工消費合作社印製 476097
AT B7 I、發明說明() 具實效地採用本發明遮斷器層。例如,非結晶性矽、濃液 矽及聚矽沉積處理,類似上述採用1200 °C鹽酸清洗循環。 此等型式之沉積反應器可採用本發遮斷器而同樣地達到進 行長期1200 °C鹽酸清洗循環而無〇形環變質之能力。正如 本發明遮斷器可防止氯氣化學侵蝕,遮斷器可採用其他清 洗化學用品而亦同樣地防止其他型式之化學侵蝕。例如, 本發明遮斷器可用以在採用諸如使用氟化氮NF3之氟基清 洗化學用品之彼等處理室内,防止氟化學侵蝕。 雖然已經顯示及說明本發明之具體實施例,但通曉本 技術者將可進行進一步之修改及改進。因此期望宜了解本 發明未受限於所示之特定型式,同時所附申請專利範圍在 不偏離本發明精神及範嗜下,涵蓋所有之修改β --------%---.----------訂—-------I (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 第2(5頁 本纸張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐)

Claims (1)

  1. ^/0097 A8 B8 C8 D8 、申請專利範圍 1· 一種半導體基材處理設備,其至少包含: (a) —處理室,具有一第一構件、一第二構件及一處理區 域; (b) 一真空密封,介於該第一及該第二構件間,其可在該 處理區域内具有一壓·力控制環境;以及 ⑹一遮斷器,配列於該第一及第二構件之間,其將該密 封與該處理區域分離,該遮斷器概略地不與在該處理 區域内進行之處理過程反應。 2·如申請專利範圍第1項所述之設備,其中遮斷器概略地 不與在該處理區域内進行之羞晶梦沉積過程反應。 3.如申請專利範圍第1項所述之設備,其中該遮斷器概略 地不與在該處理區域内包含大約1200 °C溫度之處理過 程反應。 4·如申請專利範圍第1項所述之设備,其中該遮斷器概略 地不與在該處理區域内包含大約1200 °C鹽酸之處理過 程反應。 5.如申請專利範圍第1項所述之設備,其中該惰性遮斷器 包含膨脹之聚六氟乙烯° 第27貫 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 -------rllh — φ (請先閱讀背面之注意事項再填寫本頁) I I I I I I 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 4/6097 A8 B8 C8 __ D8 " - " ' 一 六、申請專利範圍 、 6.如申請專利範圍第1項所述之設備,其中該遮斷器厚到 足以使得當在遮斷器之厚度上產生收縮時,遮斷器仍 然填滿該第一及第二構件間之充填空間。 7·如申請專利範圍第1項所述之設備,其中該遮斷器呈連 續形,同時圍繞該處理區域。 8. 如申請專利範圍第7項所述之設備,其中該遮斷器具有 可滑動互連端、一處於第一溫度之第一長度、一處於 第二溫度之第二長度,其中該第一溫度低於該第二溫 度,而該第二長度較該第一長度更短,其中該可滑動 互連端容許該遮斷器自該第一長度向該第二長度變 窄,而該遮斷器連續性地將該密封與該處理區域分 開。 9. 如申請專利範圍第8項所述之設備,當該遮斷器自該第 一長度向該第二長度變窄後,該密封仍藉由大約該遮 斷器一半厚度與該處理區域分開。 10. —種用於沉積%之設備,其至少包含: (a) —處理室,具有一第一元件、一第二元件以及一處理 區域; (b) — 0形環’介於該第一及第二元件間’其可在該處理 區域内具有壓力控制處理;以及 第28頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) m Hi i m m m HI (n I m n In flu 111 - i n —i In 一口,I f—1 1· faan I flu (請先閱讀背面之注意事頊再填寫本頁) 476097 A8 B8 C8 D8____ 六、 申請專利範圍 (c) 一線型物件,包含膨脹之聚六氟乙婦’該物件在該處 理區域内概略對溫度、壓力及化學環境呈惰性,該物 件具有一第一刻痕端、一適可與該第一刻痕端連接之 第二刻痕端、一厚度及一長度,該線型物件當該第一 刻痕端與該第二刻痕端互連時,圍繞該處理區域,因 而該惰性物件將該處理區域與該0形環分開。 11. 如申請專利範圍第10項所述之設備,其中該第一刻痕 端對正該第二刻痕端,使得該處理區域以大约該惰性 物件之一半厚度與該0形環分開。 12. 如申請專利範圍第1〇項所述之設備’其中物件長度長 到以使得該第一及第二刻痕端將不顧該處理區域内溫 度及物件收縮而重疊。 13. —種改良式矽沉積設備,其至少包含·· (a) —處理室,具有一第一元件、一第二元件以及一適可 沉積原有矽之處理區域; (b) —壓力密封,成形於該第一及第二元件之間,俾提供 一壓力控制環境;以及 (c) 一遮斷器層,成形於該第一及第二元件之間,其將該 壓力密封與該處理室分開,其中該遮斷器層防止來自 該密封之污染物到達該處理區域,而該遮斷器提供該 密封與用於該處理區域内之熱量及化學品絕緣,而該 第29頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐〉 ---------!----^ I --------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 476097 A8 B8 C8 D8
    經濟部智慧財產局員工消費合作社印製 夂、申請專利範圍 遮斷器概略與用於該處理區域内之熱能及化學作用呈 惰性。 14. 一種半導體基材處理設備,其至少包含: (a) —處理室,具有一圓頂、一圓底、〆基環以及—連接 及分離圓頂及該圓底之夾環,其中該圓頂、圓底、基 環及夾環界定一處理區域、一配列於該處理區域内之 感測器、多數個照明該感測器之電燈,以及一鄰靠該 感測器配列之石英襯裡; (b) —密封,配列於該基環及每一該圓蓋之間,以及—密 封介於該夾環及該圓蓋内之每一圓蓋之間,該基環及 該夾環可壓縮地與該密封接觸,俾可在該處理區域内 具有一壓力控制環境;以及 (c) 遮斷器,配列於每一該密封與該處理區域之間,其中 該遮斷器可防止來自該密封之污染物到達該處理區 域; 其中該密封及該遮斷器配列於成形於該夾環及該基環内 之溝槽中。 15. 如申請專利範圍第14項所述之設備,其中該基環及該 夾環由不銹鋼製作。 16·如申請專利範圍第14項所述之設備,其中該密封具圓 形剖面,而該遮斷器具長方形剖面。 第30頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) --------U------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 476097 A8 B8 C8 D8 申請專利範圍 17·如申請專利範圍第14項所述之設備,其中該遮斷器厚 度小於該溝槽深度兩倍。 -------:---l· --------訂---------線 (請先閱讀背面之注音心事項再填寫本頁) 經濟部智慧財產局員Η消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
TW089113085A 1999-07-01 2000-07-01 Inert barrier for high purity epitaxial deposition systems TW476097B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/346,646 US6245149B1 (en) 1999-07-01 1999-07-01 Inert barrier for high purity epitaxial deposition systems

Publications (1)

Publication Number Publication Date
TW476097B true TW476097B (en) 2002-02-11

Family

ID=23360382

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089113085A TW476097B (en) 1999-07-01 2000-07-01 Inert barrier for high purity epitaxial deposition systems

Country Status (6)

Country Link
US (1) US6245149B1 (zh)
EP (1) EP1065701B1 (zh)
JP (1) JP4824851B2 (zh)
KR (1) KR100755548B1 (zh)
DE (1) DE60038412T2 (zh)
TW (1) TW476097B (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6383330B1 (en) * 1999-09-10 2002-05-07 Asm America, Inc. Quartz wafer processing chamber
US6786935B1 (en) 2000-03-10 2004-09-07 Applied Materials, Inc. Vacuum processing system for producing components
CA2431109C (en) * 2000-12-18 2012-02-21 Dentsply International Inc. Improved dental handpiece components
US20060191336A1 (en) * 2002-03-28 2006-08-31 Dentsply Research & Development Corp. Method and apparatus for balancing the rotating elements of a dental handpiece
WO2003083266A1 (en) * 2002-03-28 2003-10-09 Dentsply International Inc. Method for balancing the rotating turbine element of a dental handpiece
US7018517B2 (en) 2002-06-21 2006-03-28 Applied Materials, Inc. Transfer chamber for vacuum processing system
JP3908112B2 (ja) * 2002-07-29 2007-04-25 Sumco Techxiv株式会社 サセプタ、エピタキシャルウェーハ製造装置及びエピタキシャルウェーハ製造方法
US7169233B2 (en) * 2003-11-21 2007-01-30 Asm America, Inc. Reactor chamber
US7021888B2 (en) * 2003-12-16 2006-04-04 Universities Research Association, Inc. Ultra-high speed vacuum pump system with first stage turbofan and second stage turbomolecular pump
US7784164B2 (en) 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
JP4348542B2 (ja) * 2004-08-24 2009-10-21 信越半導体株式会社 石英治具及び半導体製造装置
KR100600584B1 (ko) * 2004-10-25 2006-07-13 삼성전자주식회사 반도체 제조용 공정챔버
US20060273277A1 (en) * 2005-06-02 2006-12-07 Heller Mark J Plasma resistant seal assembly with replaceable barrier shield
US20070012251A1 (en) * 2005-07-07 2007-01-18 Martin Zucker Seal arrangement with corrosion barrier and method
JP4779644B2 (ja) * 2005-12-27 2011-09-28 株式会社Sumco エピタキシャル装置
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US8991785B2 (en) * 2007-10-26 2015-03-31 Applied Materials, Inc. Methods and apparatus for sealing a slit valve door
JP5308679B2 (ja) * 2008-01-22 2013-10-09 東京エレクトロン株式会社 シール機構、シール溝、シール部材及び基板処理装置
JP5169298B2 (ja) * 2008-02-22 2013-03-27 株式会社デンソー 半導体製造装置
JP5431901B2 (ja) * 2008-12-26 2014-03-05 キヤノンアネルバ株式会社 インライン真空処理装置、インライン真空処理装置の制御方法、情報記録媒体の製造方法
SG10201501824XA (en) * 2010-03-12 2015-05-28 Applied Materials Inc Atomic layer deposition chamber with multi inject
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US20140175310A1 (en) 2012-12-07 2014-06-26 Parker-Hannifin Corporation Slit valve assembly having a spacer for maintaining a gap
US10344380B2 (en) 2013-02-11 2019-07-09 Globalwafers Co., Ltd. Liner assemblies for substrate processing systems
US9322097B2 (en) * 2013-03-13 2016-04-26 Applied Materials, Inc. EPI base ring
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
SG11201508512PA (en) * 2013-05-23 2015-12-30 Applied Materials Inc A coated liner assembly for a semiconductor processing chamber
WO2015023493A1 (en) * 2013-08-16 2015-02-19 Applied Materials, Inc. Sealing groove methods for semiconductor equipment
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
DE102016211614A1 (de) * 2016-06-28 2017-12-28 Siltronic Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Halbleiterscheiben
JP7337321B1 (ja) 2022-07-13 2023-09-04 株式会社アクア機械工業 混合粉砕物の選別装置及び選別方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE392582B (sv) 1970-05-21 1977-04-04 Gore & Ass Forfarande vid framstellning av ett porost material, genom expandering och streckning av en tetrafluoretenpolymer framstelld i ett pastabildande strengsprutningsforfarande
JPS6435175A (en) * 1987-07-31 1989-02-06 Tokyo Electron Ltd Semiconductor processing device
WO1991004847A1 (en) * 1989-09-26 1991-04-18 Asberit Ltda. Seal joint and process of forming the same
US5085887A (en) * 1990-09-07 1992-02-04 Applied Materials, Inc. Wafer reactor vessel window with pressure-thermal compensation
JPH05106042A (ja) * 1991-10-18 1993-04-27 Fujitsu Ltd 半導体装置の製造装置及び半導体装置の製造方法
JPH06244269A (ja) * 1992-09-07 1994-09-02 Mitsubishi Electric Corp 半導体製造装置並びに半導体製造装置におけるウエハ真空チャック装置及びガスクリーニング方法及び窒化膜形成方法
US5492336A (en) 1993-04-20 1996-02-20 W. L. Gore & Associates, Inc. O-ring gasket material and method for making and using same
US5494301A (en) 1993-04-20 1996-02-27 W. L. Gore & Associates, Inc. Wrapped composite gasket material
US5421957A (en) * 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
US5722668A (en) * 1994-04-29 1998-03-03 Applied Materials, Inc. Protective collar for vacuum seal in a plasma etch reactor
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
JPH112326A (ja) * 1997-06-11 1999-01-06 Seiko Epson Corp Oリング及びこれを具備する装置
US5914050A (en) * 1997-09-22 1999-06-22 Applied Materials, Inc. Purged lower liner

Also Published As

Publication number Publication date
KR20010015131A (ko) 2001-02-26
US6245149B1 (en) 2001-06-12
DE60038412T2 (de) 2009-04-09
JP2001060558A (ja) 2001-03-06
KR100755548B1 (ko) 2007-09-06
EP1065701A3 (en) 2001-07-11
JP4824851B2 (ja) 2011-11-30
EP1065701A2 (en) 2001-01-03
DE60038412D1 (de) 2008-05-08
EP1065701B1 (en) 2008-03-26

Similar Documents

Publication Publication Date Title
TW476097B (en) Inert barrier for high purity epitaxial deposition systems
CA1196777A (en) Chemical vapor deposition apparatus and process
JP3902408B2 (ja) セルフクリーニング用の遠隔プラズマソースを備えた半導体処理装置
JP2840071B2 (ja) 処理装置及び方法
CN101379214B (zh) 外延沉积工艺及装置
US7727296B2 (en) Collecting unit for semiconductor process
US5599735A (en) Method for doped shallow junction formation using direct gas-phase doping
TW201025482A (en) Apparatus for efficient removal of halogen residues from etched substrates
US4547404A (en) Chemical vapor deposition process
US6592661B1 (en) Method for processing wafers in a semiconductor fabrication system
US20110171758A1 (en) Reclamation of scrap materials for led manufacturing
EP1463093A2 (en) Thermal treatment system for semiconductors
JP3071933B2 (ja) 解離したハロゲン系腐蝕性ガスに対する耐蝕性部材およびその製造方法
US8097088B1 (en) Methods for processing substrates in a dual chamber processing system having shared resources
US6363624B1 (en) Apparatus for cleaning a semiconductor process chamber
CN116075609A (zh) 用于在衬底晶圆上沉积半导体材料层的设备和方法
JPH01220830A (ja) 処理装置及び方法
JPH01186623A (ja) 処理装置及び方法
US20220254634A1 (en) Chamber body feedthrough for in chamber resistive heating element
JP3133961B2 (ja) 耐蝕性部材、その使用方法およびその製造方法
JPH0193125A (ja) 処理装置及び方法
KR20110116007A (ko) Cvd 처리 방법 및 그 방법을 사용하는 cvd 장치
CN1459832A (zh) 介电层的制造方法
Borland et al. CHARACTERIZATION OF A SINGLE WAFER MULTI-CHAMBER CLUSTERED EPI SYSTEM AT REDUCED PRESSURE

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees