JP2840071B2 - 処理装置及び方法 - Google Patents

処理装置及び方法

Info

Publication number
JP2840071B2
JP2840071B2 JP63175337A JP17533788A JP2840071B2 JP 2840071 B2 JP2840071 B2 JP 2840071B2 JP 63175337 A JP63175337 A JP 63175337A JP 17533788 A JP17533788 A JP 17533788A JP 2840071 B2 JP2840071 B2 JP 2840071B2
Authority
JP
Japan
Prior art keywords
wafer
processing
chamber
vacuum
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP63175337A
Other languages
English (en)
Other versions
JPH028361A (ja
Inventor
ジェイ ディヴィス セシル
ティー マシューズ ロバート
ビー ジューチャ レット
エム レーウェンスタイン リー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JPH028361A publication Critical patent/JPH028361A/ja
Application granted granted Critical
Publication of JP2840071B2 publication Critical patent/JP2840071B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Description

【発明の詳細な説明】 (関連出願の相互参照) 本願は、共通譲渡人の関連ケースであって、関連する
主題を含む下記の各出願を、参照文献として包含するも
のである。
1985年10月24日に出願され現在は放棄されている第79
0,918号の継続出願であり、1987年12月6日に出願され
た係属中の第060,991号であって、セシル・デービス(C
ecil Davis)及びロバート・マシューズ(Robert Matth
ews)による「真空スライスキャリア(Vacuum Slice Ca
rrier)」、 1985年10月24日に出願され現在は放棄されている第79
0,708号の継続出願であり、1987年6月12日に出願され
た係属中の第060,976号であって、セシル・デービス(C
ecil Davis)、ジョン・スペンサ(John Spencer)、テ
ィム・ウールドリッジ(Tim Wooldridge)、及びデュア
ネ・カータ(Duane Carter)による「改良真空プロセッ
サ(Advanced Vacuum Processor)」、 1987年8月18日に発行された米国特許第4,687,542号
であって、セシル・デービス(Cecil Davis)、ロバー
ト・マシューズ(Robert Matthews)、及びランドール
・ヒルデンブランド(Randall Hildenbrand)による
「真空処理システム(Vacuum Processing System)」、 1985年10月24日に出願された係属中の第790,707号で
あって、セシル・デービス(Cecil Davis)、デュアル
・カータ(Duane Carter)及びレット・ジュチア(Rhet
t Jucha)による「プラズマ補助エッチング用装置(App
aratus for Plasma−Assisted Etching)」、 1986年1月30日に出願された第824,342号の継続出願
であり、1987年6月12日に出願された係属中の第061,01
7号であって、セシル・デービス(Cecil Davis)、ロバ
ート・ボーリング(Robert Bowling)及びロバート・マ
シューズ(Robert Matthews)による「集積回路処理シ
ステム(Integrated Circuit Processing System)」、 1986年10月6日に出願された係属中の第915,608号で
あって、ロバート・ボーリング(Robert Bowling)、グ
レイドン・ララビー(Larrabee)及びベンジャミン・リ
ウ(Benjamin Liu)による「移動可能な粒子シールド
(Movable Particle Shield)」、 1987年7月16日に出願された係属中の第074,448号で
あって、セシル・デービス(Cecil Davis)、ロバート
・マシューズ(Robert Matthews)、リー・ロエベンシ
ュタイン(Lee Loewenstein)、ジョー・アバーナシイ
(Loe Abernathy)、及びティモシイ・ウールドリッジ
(Timothy Wooldridge)による「処理装置及び方法(Pr
ocessing Apparatus and Method)」、 1987年7月17日に出願された係属中の第075,016号で
あって、セシル・デービス(Cecil Davis)、リー・ロ
エベンシュタイン(Lee Loewenstein)、ロバート・マ
シューズ(Robert Matthews)、及びジョン・ジョーズ
(John Jones)による「処理装置及び方法(Processing
Apparatus and Method)」、 1987年7月16日に出願された係属中の第073,943号で
あって、リー・ロエベンシュタイン(Lee Loewenstei
n)、アラン・ローズ(Alan Rose)、ロバート・III・
ケネディ(Robert III・Kennedy)、グレーグ・ハフマ
ン(Craig Huffman)、及びセシル・デービス(Cecil D
avis)による「処理装置及び方法(Processing Apparat
us and Method)」、 1987年7月16日に出願された係属中の第073,948号で
あって、リー・ロエベンシュタイン(Lee Loewenstei
n)による「処理装置及び方法(Processing Apparatus
and Method)」、 1987年7月16日に出願された係属中の第073,942号で
あって、レット・ジュチャ(Rhett Jucha)及びセシル
・デービス(Cecil Davis)による「処理装置及び方法
(Processing Apparatus and Method)」、 1987年7月16日に出願された係属中の第074,419号で
あって、セシル・デービス(Cecil Davis)及びロバー
ト・マシューズ(Robert Matthews)による「処理装置
及び方法(Processing Apparatus and Method)」、 1987年7月16日に出願された係属中の第074,377号で
あって、セシル・デービス(Cecil Davis)、レット・
ジュチャ(Rhett Jucha)、ランドール・ヒルデンブラ
ンド(Randall Hilldenbrand)、リチャード・シェルツ
(Richard Schultz)、リー・ロエベンシュタイン(Lee
Loewenstein)、ロバート・マシューズ(Robert Matth
ews)、クレーグ・ハフマン(Craig Huffmau)、ジョン
・ジョーンズ(John Jones)による「処理装置及び方法
(Processing Apparatus and Method)」、 1987年7月16日に出願された係属中の第074,398号で
あって、セシル・デービス(Cecil Davis)、リー・ロ
エベンシュタイン(Lee Loewenstein)、レット・ジュ
チャ(Rhett Jucha)、ロバート・マシューズ(Robert
Matthews)、ランドール・ヒルデンブランド(Randall
Hildenbrand)、デーン・フリーマン(Dean Freeman)
及びジョン・ジョーンズによる「処理装置及び方法(Pr
ocessing Apparatus and Method)」、 1987年7月16日に出願された係属中の第074,456号で
あって、セシル・デービス(Cecil Davis)、レット・
ジュチャ(Rhett Jucha)、ジョセフ・ルトマ(Joseph
Luttmer)、ルディ・ヨーク(Rudy York、リー・ロエベ
ンシュタイン(Lee Loewenstein)、ロバート・マシュ
ーズ(Robert Matthews)、及びランドール・ヒルデン
ブランド(Randall Hildenbrand)による「処理装置及
び方法(Processing Apparatus and Method)」、 1987年7月16日に出願された係属中の第074,399号で
あって、レット・ジュチャ(Rhett Jucha)及びセシル
・デービス(Cecil Davas)による「処理装置及び方法
(Processing Apparatus and Method)」、 1987年7月16日に出願された係属中の第074,450号で
あって、レット・ジュチャ(Rhett Jucha)、セシル・
デービス(Cecil Davas)及びジョン・ジョーンズ(Joh
n Jones)による「処理装置及び方法(Processing Appa
ratus and Method)」、 1987年7月16日に出願された係属中の第074,375号で
あって、レット・ジュチャ(Rhett Jucha)、D.カータ
(D.Carter)、セシル・デービス(Cecil Davas)及び
S.クランク(S.Crank)による「処理装置及び方法(Pro
cessing Apparatus and Method)」、 1987年7月16日に出願された係属中の第074,411号で
あって、レット・ジュチャ(Rhett Jucha)、セシル・
デービス(Cecil Davas)、D.カータ(D.Carter)、S.
クランク(S.Crank)及びジョン・ジョーンズ(John Jo
nes)による「処理装置及び方法(Processing Apparatu
s and Method)」、 1987年7月16日に出願された係属中の第074,390号で
あって、レット・ジュチャ(Rhett Jucha)、セシル・
デービス(Cecil Davas)及びS.クランク(S.Crank)に
よる「処理装置及び方法(Processing Apparatus and M
ethod)」、 1987年7月16日に出願された係属中の第074,114号で
あって、セシル・デービス(Cecil Davas)、リー・ロ
エベンシュタイン(Lee Loewenstein)、ディーン・フ
リーマン(Dean Freeman)、ジェームス・ブリス(Jame
s Burris)による「処理装置及び方法(Processing App
aratus and Method)」、 1987年7月16日に出願された係属中の第074,373号で
あって、ディーン・フリーマン(Dean Freeman)、ジェ
ームス・ブリス(James Burris)、セシル・デービス
(Cecil Davis)、及びリー・ロエベンシュタイン(Lee
Loewenstein)による「処理装置及び方法(Processing
Apparatus and Method)」、 1987年7月16日に出願された係属中の第074,391号で
あって、ディーン・フリーマン(Dean Freeman)、ジェ
ームス・ブリス(James Burris)、セシル・デービス
(Cecil Davas)、及びリー・ロエベンシュタイン(Lee
Loewenstein)による「処理装置及び方法(Processing
Apparatus and Method)」、 1987年7月16日に出願された係属中の第074,415号で
あって、ディーン・フリーマン(Dean Freeman)、ジェ
ームス・ブリス(James Burris)、セシル・デービス
(Cecil Davas)、リー・ロエベンシュタイン(Lee Loe
wenstein)による「処理装置及び方法(Processing App
aratus and Method)」、 1987年7月16日に出願された係属中の第074,451号で
あって、ジョセフ・ルトマ(Joseph Luttmer)、セシル
・デービス(Cecil Davis)、パトリシア・スミス(Pat
ricia Smith)、ルディ・ヨーク(Rudy York)、リー・
ロエベンシュタイン(Lee Loewenstein)、及びレット
・ジュチヤ(Rhett Jucha)による「処理装置及び方法
(Processing Apparatus and Method)」、 1987年7月16日に出願された係属中の第073,945号で
あって、ジョセフ・ルトマ(Joseph Luttmer)、セシル
・デービス(Cecil Davis)、パトリシア・スミス(Pat
ricia Smith)、及びルディ・ヨーク(Rudy York)によ
る「処理装置及び方法(Processing Apparatus and Met
hod)」、 1987年7月16日に出願された係属中の第073,936号で
あって、ジョセフ・ルトマ(Joseph Luttmer)、セシル
・デービス(Cecil Davas)、パトリシア・スミス(Pat
ricia Smith)、ルディ・ヨーク(Rudy York)による
「処理装置及び方法(Processing Apparatus and Metho
d)」、 1987年7月16日に出願された係属中の第074,111号で
あって、ジョセフ・ルトマ(Joseph Luttmer)、ルディ
・ヨーク(Rudy York)、パトリシア・スミス(Patrici
a Smith)及びセシル・デービス(Cecil Davas)による
「処理装置及び方法(Processing Apparatus and Metho
d)」、 1987年7月16日に出願された係属中の第074,386号で
あって、ルディ・ヨーク(Rudy York)、ジョセフ・ル
トマ(Joseph Luttmer)、パトリシア・スミス(Patric
ia Smith)及びセシル・デービス(Cecil Davis)によ
る「処理装置及び方法(Processing Apparatus and Met
hod)」、 1987年7月16日に出願された係属中の第074,407号で
あって、ルディ・ヨーク(Rudy York)、ジョセフ・ル
トマ(Joseph Luttmer)、パトリシア・スミス(Patric
ia Smith)、及びセシル・デービス(Cecil Davas)に
よる「処理装置及び方法(Processing Apparatus and M
ethod)」、 1987年7月16日に出願された係属中の第075,018号で
あって、セシル・デービス(Cecil Davas)、ジョー・
アバーナイシ(Joe Abernathy)、ロバート・マシュー
ズ(Robert Matthews)、ランドール・ヒルデンブラン
ド(Randall Hildenbrand)、ブルース・シンプソン(B
ruce Simpson)、ジェームス・ボールマン(James Bohl
man)、リー・ロエベンシュタイン(Lee Loewenstein)
及びジョン・ジョーンズ(John Jones)による「処理装
置及び方法(Processing Apparatus and Method)」、 1987年7月16日に出願された係属中の第074,112号で
あって、セシル・デービス(Cecil Davas)、ロバート
・マシューズ(Robert Matthews)、ルディ・ヨーク(R
udy York)、ジョセフ・ルトマ(Joseph Luttmer)、ド
ウェイン・ジャクビック(Dwain Jakubik)及びジェー
ムス・ハンタ(James Hunter)による「処理装置及び方
法(Processing Apparatus and Method)」、 1987年7月16日に出願された係属中の第074,449号で
あって、セシル・デービス(Cecil Davas)、グレッグ
・スミス(Greg Smith)、ロバート・マシューズ(Robe
rt Matthews)、ジョン・ジョーンズ(John Jones)、
ジェームス・スミス(James Smith)及びリチャード・
シェルツ(Richard Schultz)による「処理装置及び方
法(Processing Apparatus and Method)」、 1987年7月16日に出願された係属中の第074,406号で
あって、セシル・デービス(Cecil Davas)、ディーン
・フリーマン(Dean Freeman)、ロバート・マシューズ
(Robert Matthews)、及びジョエル・トムソンによる
「処理装置及び方法(Processing Apparatus and Metho
d)」、 1987年7月16日に出願された係属中の第073,941号で
あって、セシル・デービス(Cecil Davas)、リー・ロ
エベンシュタイン(Lee Loewenstein)、シャーロッテ
・ティプトン(Charlotte Tipton)、ランディ・スミス
(Randee Smith)、R.ポールマイヤ(R.Pohlmeier)、
ジョン・ジョーンズ(John Jones)、ロバート・ボーリ
ング(Robert Bowling)、及びI.ラッセル(I.Russel)
による「処理装置及び方法(Processing Apparatus and
Method)」、 1987年7月16日に出願された係属中の第074,371号で
あって、リー・ロエベンシュタイン(Lee Loewenstei
n)、セシル・デービス(Cecil Davas)による「処理装
置及び方法(Processing Apparatus and Method)」、 1987年7月16日に出願された係属中の第074,418号で
あって、ウェイン・フィシャ(Wayne Fisher)による
「処理装置及び方法(Processing Apparatus and Metho
d)」、 1987年7月16日に出願された係属中の第073,934号で
あって、ウェイン・フィシャ(Wayne Fisher)、トミイ
・ベネット(Tommy Bennet)、セシル・デービス(Ceci
l Davas)、及びロバート・マシューズ(Robert Matthe
ws)による「処理装置及び方法(Processing Apparatus
and Method)」、 1987年7月16日に出願された係属中の第074,403号で
あって、セシル・デービス(Cecil Davas)、ロバート
・マシューズ(Robert Matthews)及びウェイン・フィ
シャ(Wayne Fisher)による「処理装置及び方法(Proc
essing Apparatus and Method)」、 1987年7月17日に出願された係属中の第075,019号で
あって、セシル・デービス(Cecil Davas)、ディーン
・フリーマン(Dean Freeman)、ロバート・マシューズ
(Robert Matthews)、及びジオエル・トムリン(Joel
Tomlin)による「処理装置及び方法(Processing Appar
atus and Method)」、 1987年7月16日に出願された係属中の第073,939号で
あって、セシル・デービス(Cecil Davas)、ジオー・
アバーナシイ(Joe Abernathy)、ロバート・マシュー
ズ(Robert Matthews)、ランディ・ヒルデンブランド
(Randy Hildenbrand)、ブルース・シンプソン(Bruce
Simpson)、ジェームス・ボールマン(James Bohlma
n)、リー・ロエベンシュタイン(Lee Loewenstein)及
びジョン・ジョーンズ(John Jones)による「処理装置
及び方法(Processing Apparatus and Method)」、 1987年7月16日に出願された係属中の第073,944号で
あって、セシル・デービス(Cecil Davas)及びレット
・ジュチャ(Rhett Jucha)による「処理装置及び方法
(Processing Apparatus and Method)」、 1987年7月16日に出願された係属中の第073,935号で
あって、ジイアン・リウ(Jian Liu)、セシル・デービ
ス(Cecil Davas)及びリー・ロエベンシュタイン(Lee
Loewenstein)による「処理装置及び方法(Processing
Apparatus and Method)」、 1987年7月16日に出願された係属中の第074,129号で
あって、リー・ロエベンシュタイン(Lee Loewenstei
n)、ディーン・フリーマン(Dean Freeman)及びセシ
ル・デービス(Cecil Davas)による「処理装置及び方
法(Processing Apparatus and Method)」、 1987年7月16日に出願された係属中の第074,455号で
あって、リー・ロエベンシュタイン(Lee Loewenstei
n)、ディーン・フリーマン(Dean Freeman)及びセシ
ル・デービス(Cecil Davas)による「処理装置及び方
法(Processing Apparatus and Method)」、 1987年7月16日に出願された係属中の第074,453号で
あって、リー・ロエベンシュタイン(Lee Loewenstei
n)、ディーン・フリーマン(Dean Freeman)及びセシ
ル・デービス(Cecil Davas)による「処理装置及び方
法(Processing Apparatus and Method)」、 1987年7月16日に出願された係属中の第073,949号で
あって、リー・ロエベンシュタイン(Lee Loewenstei
n)及びセシル・デービス(Cecil Davas)による「処理
装置及び方法(Processing Apparatus and Metho
d)」、 1987年7月16日に出願された係属中の第074,379号で
あって、リー・ロエベンシュタイン(Lee Loewenstei
n)及びセシル・デービス(Cecil Davas)による「処理
装置及び方法(Processing Apparatus and Metho
d)」、 1987年7月16日に出願された係属中の第073,937号で
あって、リー・ロエベンシュタイン(Lee Loewenstei
n)及びセシル・デービス(Cecil Davas)による「処理
装置及び方法(Processing Apparatus and Metho
d)」、 1987年7月16日に出願された係属中の第074,425号で
あって、リー・ロエベンシュタイン(Lee Loewenstei
n)、セシル・デービス(Cecil Davas)及びレット・ジ
ュチャ(Rhett Jucha)による「処理装置及び方法(Pro
cessing Apparatus and Method)」、 1987年7月16日に出願された係属中の第073,947号で
あって、セシル・デービス(Cecil Davas)、リー・ロ
エベンシュタイン(Lee Loewenstein)及びレット・ジ
ュチャ(Rhett Jucha)による「処理装置及び方法(Pro
cessing Apparatus and Method)」、 1987年7月16日に出願された係属中の第074,452号で
あって、レット・ジュチャ(Rhett Jucha)、セシル・
デービス(Cecil Davas)及びリー・ロエベンシュタイ
ン(Lee Loewenstein)による「処理装置及び方法(Pro
cessing Apparatus and Method)」、 1987年7月16日に出願された係属中の第074,454号で
あって、レット・ジュチャ(Rhett Jucha)、セシル・
デービス(Cecil Davas)及びリー・ロエベンシュタイ
ン(Lee Loewenstein)による「処理装置及び方法(Pro
cessing Apparatus and Method)」、 1987年7月16日に出願された係属中の第074,422号で
あって、セシル・デービス(Cecil Davas)、ロバート
・マシューズ(Robert Matthews)、レット・ジュチャ
(Rhett Jucha)及びリー・ロエベンシュタイン(Lee L
oewenstein)による「処理装置及び方法(Processing A
pparatus and Method)」、 1987年7月16日に出願された係属中の第074,113号で
あって、セシル・デービス(Cecil Davas)、ロバート
・マシューズ(Robert Matthews)、リー・ロエベンシ
ュタイン(Lee Loewenstein)、レット・ジュチャ(Rhe
tt Jucha)、ランディ・ヒルデンブランド(Randy Hild
enbrand)、及びジョン・ジョーンズ(John Jones)に
よる「処理装置及び方法(Processing Apparatus and M
ethod)」、 1987年7月16日に出願された係属中の第073,940号で
あって、セシル・デービス(Cecil Davas)及びロバー
ト・マシューズ(Robert Matthews)による「処理装置
及び方法(Processing Apparatus and Method)」、 1987年7月17日に出願された係属中の第075,017号で
あって、リー・ロエベンシュタイン(Lee Loewenstei
n)による「処理装置及び方法(Processing Apparatus
and Method)」、 1987年7月16日に出願された係属中の第073,946号で
あって、セシル・デービス(Cecil Davas)及びロバー
ト・マシューズ(Robert Matthews)による「処理装置
及び方法(Processing Apparatus and Method)」、 1987年7月16日に出願された係属中の第073,938号で
あって、セシル・デービス(Cecil Davas)及びロバー
ト・マシューズ(Robert Matthews)による「処理装置
及び方法(Processing Apparatus and Method)」、 (産業上の利用分野) 本発明は、集積回路及びその他の電子装置を製造する
装置と方法に関する。
(従来の技術と課題) 集積回路の製造における基本問題の1つは、粒子の存
在によって生じる欠陥である。例えば、導電層をパター
ン形成するのに最小幾何形状0.8ミクロンのフォトリソ
グラフィーを用いる場合、0.5ミクロンの粒子が存在す
ると、パターン形成ラインが欠陥を生じるほど狭くな
り、(開回路によって即座に、または電子移動によって
究極的に)回路の動作を妨げることがある。別の例とし
て、100Åのシリコン粒子が表面に付着し、成長中の200
Åの窒化物層内に含まれると、その後の処理工程がシリ
コン粒子を乱さないとしても、その地点で誘電層が破壊
する可能性が高くなる。
この問題は、集積回路の処理における次の2つの理由
からますます厄介なものとなりつゝある。すなわち、第
1に、デバイスの寸法がますます小さくなるにつれ、
“致命的欠陥”の寸法が小さくなるため、それに応じよ
り小さい粒子の存在を避ける必要がある。これは、クリ
ーンルームが実際にきれいであることを保証する作業を
いっそう困難とする。例えば、1ミクロン以上の粒子に
ついてクラス1(つまり立方フィート当りの粒子が1よ
り少ない雰囲気)のクリーンルームは、100Åにまで粒
子の大きさが下がるとクラス1000がそれより悪くなって
しまう。
第2に、大きいサイズの集積回路を使いたいという要
望も増している。例えば、50,000平方ミルより大きいサ
イズの集積回路は現在、5年前に比べはるかに一般的に
使われている。これは、各々の致命的欠陥が以前よりも
大きい処理ウェハの面積を破壊し易いことを意味する。
すなわち、限界の欠陥サイズが小さくなっているだけで
なく、限界の欠陥密度も小さくなっている。
このように、粒子は集積回路の製造歩留りにおける極
めて有用な要素であるばかりか、その重要性は今後も極
めて迅速に増していくであろう。従って本発明の目的
は、粒子の汚染に対する処理の感度を減少でき、一般的
に適用可能な集積回路の製造方法を提供することにあ
る。
粒子汚染の主な発生因の1つは、人体から放出される
粒子及び半導体の処理施設(フロントエンド)内を動き
回る機器オペレータによって撹拌される粒子を含め、人
に原因していることである。この主な発生源からの粒状
汚染の可能性を減じるため、当業界の一般的な傾向とし
て、自動的な移送作業がより多く使われるようになって
きた。かかる作業では例えば、ウェハのカセットを装置
内に置くと、装置が自動的にカセットからウェハを1つ
づつ装置内を通じて移送し(必要な処理工程を行った)
後、人手を使わずにカセットへと戻す。
しかし反面、自動移送作業の面に努力した結果、粒子
の別の発生源、つまり取扱及び搬送作業中にウェハと移
送機構から発生される粒子の重要性が注目されてきた。
ウェハの表面が別の硬い表面に軽くぶつかると、(シリ
コン、酸化シリコン、その他の物質の)粒子が放出され
易い。従来のウェハ搬送器内における粒子密度は、上記
の粒子発生源のため一般に極めて高い。また、ウェハ搬
送用の従来機構の多くは、かなりの量の粒子を発生す
る。この点に関する一般的な問題は、参考文献として本
明細書に含まれる米国特許第4,349,243号と第4,439,244
号で論じられている。
数種類のウェハ処理が、参考文献として本明細書に記
載されている1981年10月6日発行のウェーラン(Whela
n)による米国特許第4,293,249号、1981年12月15日発行
のヘッド(Head)による同第4,306,293号、及び1973年1
0月16日発行のニガード(Nygaard)による同第3,765,76
4号に示されている。
本願と同じ譲渡人の前掲した先行出願は、搬送中にお
けるウェハの表面摩損による粒子発生が減少されると真
空ウエハキャリヤを提供することによって、問題の上記
局面に対処してきた。これらの先行出願の教示は、ウェ
ハを高真空下で下向きに運ぶことによって、搬送及び保
管中におけるキャリヤ内での粒子の発生を減少させるだ
けでなく、搬送及び保管中におけるウェハの活性面への
付着を減少させることも可能とした。
従って、ウェハは大気または低真空の状態とさえも出
会うことなく搬送、装填、取外及び処理できる。このこ
とは、極めて有用である。というのは、約10-5torr以下
の圧力ではブラウン運動が約100Åより大きいサイズの
粒子を充分に支えられなくなり、それらの粒子は低圧雰
囲気から比較的迅速に落下するからである。
第2図は、異なるサイズの粒子が各雰囲気圧下で1m落
下するのに必要な時間を示す。同図から10-5torr以下の
圧力では、100Åの粒子でも1秒間に1m落下し、それよ
り大きい粒子はもっと速く落下することが分る。(大き
な粒子は重力加速度で、単純に弾道的に落下する。)つ
まり10-5torrより低い圧力の雰囲気だと、100Åより大
きい粒子は弾道的にだけ移動でき、ランダムな空気流や
ブラウン運動による重要なウェハ表面への移動は生じに
くい。
本願で説明する各種実施例と第2図に示した特性曲線
とは次のような関連を有している。すなわち、先行出願
は、最初の真空処理ステーション(洗浄及びポンプ排気
ステーション)内に装填された時点から、処理工程自体
が(例えば通常のフォトリソグラフィーステーションや
湿式処理工程に対して)より高い真空を必要とする場合
を除き処理の完了時点まで、ウェハが浮遊粒子に全くさ
らされないようにウェハを処理する方法に関する最初の
公知教示であった。これは、ウェハ上への粒子付着の可
能性が全体として大きく減少されたことを意味する。
また前掲の先行出願は、2以上の処理モジュールで、
装填ロック及び真空ウェハ搬送機構と組合せて真空ウェ
ハキャリヤの設計を用いて、完全な低粒子ウェハ移送シ
ステムを与えることも教示している。これらの真空装填
ロックは、装填ロックがポンプ排気された後に真空ウェ
ハキャリヤを開き、所望のランダムなアクセス順序でキ
ャリヤからウェハを取り出し、そしてウェハを1つづつ
ポートを経て隣接する処理室内へ移す各機構を有効に組
み入れることが可能となる。さらに、装填ロック機構は
真空ウェハキャリヤを閉じて再密閉できるので、真空ウ
ェハキャリヤ内の真空状態を破らずに、装填ロック自体
を大気圧とし、真空ウェハキャリヤを取り出すことがで
きる。この方法は、第2図に示し且つ以下詳述する降下
減少を最大限利用している。次いで、ウェハは、場合に
よっては製造シーケンス全体を通じ、実質上粒子の存在
しない環境内で、キャリヤから装填ロックへ、処理室中
へ、また装填ロックを経てキャリヤへと戻すことができ
る。
処理ステーション(1つまたはそれより多い処理モジ
ュールを任意に含み得る)は、それに付設の2以上の装
填ロックを有する。これには、実際の及び潜在的な幾つ
かの利点がある。第1に、一方の装填ロックから移送さ
れたウェハに対して処理を続行しつゝ、他方の装填ロッ
クを再装填できるので、処理量が高まる。第2に、何ら
かの機械的作動不良が生じた場合、その作動不良を直す
のに処理モジュールへ通気する必要があれば、少なくと
も処理中のウェハを中央モジュール領域から(一の装填
ロック内へ、または一の処理モジュール内さえへと)移
動し、それらのウェハを大気にさらさせない状態に保て
る。これは、かなりひどい故障でも修復可能なことを意
味する。第3に、別々の移送アームが各々の装填ロック
内に設けられていれば、装填ロック内の1つの移送装置
で機械的な問題が生じても、その機械的不良を修復する
補修を待つ間、処理ステーションでは他方の装填ロック
を介して移送し生産を続行可能であるという利点があ
る。
本願で開示される各種の処理モジュールは、処理処理
のモジュール化において大巾な改善を与える。つまり、
比較的簡単な交換によって、反応器は非常に広範囲な機
能のうち任意の1つへと変更できる。以下の詳細な説明
から、利用可能な異なる機能の大部分は、ウェハサセプ
タ及び関連構造において−すなわちボルト止めされた反
応器の頂部において−又は送り機構つまりウェハ真下の
構造において交換を行うだけで設置できることが明らか
となろう。つまり、真空室とウェハ移送インタフェース
の基本構成はほとんど変わらない。
この能力は数多くの利点をもたらす。第1に、新たな
処理能力を付加する限界資本コストが大巾に減少する。
第2に、新たな機能を実行するのに装置を比較的容易に
再構成できるので、製造スペースの融通性が大巾に増
す。第3に、反応器構造のための設計開発時間が大巾に
減少する。第4に、多くの重要なキーが広範囲の反応器
を通じて理想的に実施されるので、新たな反応器の使用
時に人員を訓練するのに必要な時間が大巾に減少する。
第5に、各種の機器に対する不慣れや混同に原因してオ
ペレータがミスしにくくなるので、ミスの損害も減る。
第6に、適切なスペア部品在庫の保管コストが減少す
る。第7に、補修及び保守機能の多くは該当の交換モジ
ュールを生産用反応器内へ交換設置した後ライン外で実
施できるため、そのような機能の遅延損害も減少可能で
ある。第8に、不要な機能を実施するように構成された
装置が再構成可能なので、使われなくなった旧式装置の
製造スペース内における存在が最小限化される。
こゝに開示される各種クラスのモジュールは、それら
モジュールを設置するのに必要な“フットプリント(足
形域)”が最小になるという利点を与える。つまり、開
示されるような1つ以上の処理モジュールがクリーンル
ーム内に配置されたとき、必要なクリーンルームの床ス
ペース(非常に高価)が最小で済む。
真空状態を破らずに1つの処理室から別の処理室へウ
ェハを移送する能力は、後述する実施例のモジュール式
互換性によって高められる。特に、こゝに開示するよう
なモジュール式処理ユニットの利点の1つは、共通のス
テーション内にある2つのモジュール間で移送されるの
にウェハが装填ロックを通過しなくてもよいように、単
一の処理ステーションが、記載されたような処理モジュ
ールを幾つか含むことができる点にある。
後述する各種モジュール設計の別の利点は、超有能な
反応器を与えること、すなわち何れか1つのプロセスで
使用可能なものより大きな適応能力を有することにあ
る。この点から、それらの特徴は逐次処理の面でも有利
なことも明らかとなろう。つまり、ウェハを取り出さず
に、同一室内で2以上の処理を実施するのが望ましいと
認識されている。こゝに開示される反応器は、上記を実
施するのに特に有利である。何故なら、反応器設計の
“過剰な”能力とは、2つの逐次ステップを実施するた
めに、反応器を構成するのがより容易なことを意味する
からである。
上記以外の利点は、以下の実施例の説明から理解され
る。
以下、本発明を添付の図面を参照して説明する。
(実施例) 本発明は、半導体の処理方法及び装置における重要な
新概念を与えるものである。以下に好ましい実施例を詳
しく論じるが、それらの実施例に含まれる概念は他の多
くの実施例でも使え、発明の範囲は以下に示す実施例に
よっては限定されないことを認識する必要がある。
第1図は、真空装填ロック室12内に位置した真空ウェ
ハキャリヤ10の実例を示す。真空ウェハキャリヤ10は、
第4図にもやゝ詳しく示してある。
真空ウェハキャリヤ10は、そのドア14を開いた状態で
示してある。ドア14は、例えばヒンジ(図示せず)によ
って、キャリヤ10本体の側辺(第1及び4図で左側)に
旋回自在に取り付けられている。ドア14は真空シール13
(第4図)を有し、それが真空ウェハキャリヤの本体と
係合するので、真空ウェハキャリヤ10の外部を大気にさ
らしながらも、キャリヤ10の内部は、数日間更には数十
日間、その内圧を例えば10-3torrより高めるような漏れ
を生ぜずに、気密維持される。
真空ウェハキャリヤ10は、位置整合台18と嵌合合体す
る。位置整合台18は第1図では一部しか示されていない
が、第4図に詳しく示してある。真空ウェハキャリヤ10
が真空装填ロック室12内に置かれたとき、真空ウェハキ
ャリヤ10の位置は正確に分る。つまり、真空ウェハキャ
リヤ10は、位置整合台18に固定された垂直スロット17と
係合する耳状部16を有する。真空ウェハキャリヤ10は垂
直スロット内を摺動して位置整合台18上に載置され、真
空ウェハキャリヤ10の位置が厳密に分るようになってい
る。位置整合台18に2つのテーパピン21を備えることも
役に立つ。第4図に示すように、ピン21は共に円錐状で
もよいが、例えば一方が円錐状、他方がクサビ状と異な
る形状にもし得る。真空ウェハキャリヤ10が耳状部16を
スロット17と嵌合させて降下されたとき、真空ウェハキ
ャリヤ10の下面のテーパ孔23と係合するようにピン21は
位置決めされている。機械的な整合を保証するために
は、その他広範囲の各種構成が使える。つまり、スロッ
ト17、耳状部16及びピン21を使用することによって、キ
ャリヤ10と室12とが整合(機械的整合)状態になる。
また真空ウェハキャリヤは、不注意に加えられる外力
によってドア14が開くのを防ぐ安全用留め金15を有す
る。耳状部500がヒンジ(図示せず)と反対側のドア14
の側辺から延び、ドアをキャリヤ10の本体に止める。安
全用止め金15は、キャリヤ10が非真空キャリヤとして使
われる場合にも、ドア14を閉状態に保つのに使える。耳
状部500はキャリヤ10の側辺(第4図では右側)に回転
可能に取り付けられた安全用留め金15と係合する。しか
し、通常の搬送状態下では、大気圧が真空ウェハキャリ
ヤ10の内部真空に対してドア14を閉状態に保つので、そ
の安全用留め金は必要ない。耳状部16をスロット17に係
合させて真空ウェハキャリヤ10が真空装填ロック室12内
に置かれると、固定指状部19が安全用留め金15と係合
し、それを耳状部500と反対側(第4図では上方)に回
転させて解除する結果、ドア14が開放可能となる。固体
指状部19は第4図に示すように、台18から上方へ延びて
いる。
真空ウェハキャリヤ10が位置整合台18と嵌合合体され
たとき、ドア14はドア開放シャフト24の頂部とも係合す
る。ドア14はその下面に浅い溝(図示せず)を備え、こ
れがドア開放シャフト24頂部の指状部及びアーム25と係
合する。アーム25は、所望に応じてドア14を回転させる
ため、ドア14とそのキャリヤ10本体への止め箇所近くで
係合するように位置する。つまり、装填ロック内が排気
され、差圧がもはやドア14を閉状態に保持しなくなった
後、ドア開放シャフト24を(第4図では時計方向に)回
転することによって、ドアが開放可能となる。また、シ
ャフト24を第4図で反時計方向に回転することによっ
て、ドアは閉じられる。
真空ウェハキャリヤ10を真空装填ロック室12内に置
き、そして装填ロックの蓋20を閉じた後、高圧での(乾
燥窒素またはその他のきれいなガスによる)浄化(purg
e)が、通常装填ロック蓋20内のマニホルド22(第1
図)を介して施される。このマニホルド22は、蓋20内の
孔、ガス源と蓋20内の孔との接続部、及び蓋20の底面に
おける孔からの開口を含む。ガスは供給源から蓋20内の
孔を通って流れ、開口を経て蓋20から下向きに流出す
る。マニホルド22からのガスが垂直の流れを与え、粒子
を下方へと運ぶ。またガス流は、大気にさらされている
間真空ウェハキャリヤ10上に付着した大粒子の一部を除
去するのに役立つ。
この初期浄化段階(例えば30秒間以上)後、室12内が
10-3torr以下までゆっくりポンプ排気される。ランダム
な粒子を巻き上げないように、この排気段階は比較的ゆ
っくり行うべきである。つまり、低圧によって粒子は空
中から落下するが、粒子は室の底に留まっているので、
できればそこから巻き上らないようにされねばならな
い。
浮遊粒子が室内空気から実際に落下することを保証す
るため、真空装填ロックの内部をその後数秒間10-3また
は10-4torrに保つことが必要である。空中から落下可能
な粒子が全て落下することを保証する場合も同様であ
る。
上記したようなキャリヤ10と室12の使用は、常に粒子
移動の支配的な形態である浮遊粒子の問題を大巾に減
じ、従って弾道的な輸送の問題として有効に対処できる
ようになる。
装填ロックの傾斜底と研磨側壁を、室12の変形として
使ってもよい。これは、側壁及び底に付着し、機械的な
振動によって乱される粒子の数を減少させるからであ
る。
また、真空計62(第1図)が真空装填ロック室12の内
部に接続している。真空計62は高圧計(熱電対等)、低
圧計(電離真空計等)、及び装填ロックの内圧が大気と
等しくなった時点を正確に検知する示差センサを含む。
装填ロック内が所望の真空に達したことをこれらの真空
計が示すまで、真空ウェハキャリヤ10のドアは開かれな
い。
粗引きポンプとその隔離弁702(第31図)が室内の軟
真空状態にした後、ゲートつまり隔離弁39が開られてポ
ンプ38を装填ロックの内部と接続し、ポンプ38の作動で
室内を10-3torr以下とし得る。
この時点で、真空ウェハキャリヤ10と真空装填ロック
室12内の両圧力はほゞ等しく、真空フィードスルー25を
介してドア開放シャフト24に接続されたドア駆動モータ
33(第4図)を作動することで、ドア14が開放可能とな
る。モータ33が第1及び4図中時計方向にシャフト24を
回転してドア14を開き、また反時計方向に回転してドア
14を閉じる。2つのセンサスイッチ708(第31図)も真
空装填ロック室12内に含まれ、ドア14が完全に開いた時
点と完全に閉じた時点を検出する。つまり、空装填ロッ
ク室12がポンプ排気され数秒間放置された後、一方のセ
ンサスイッチがドアの完全開放を検知するまで、ドア開
放シャフト24が時計方向に回転されてドア14を開く。
この期間中、移送アーム28はドアの底より低い高さの
ホーム位置に保たれ、ドア14が開くスペースを与えてい
る。ドア14が完全に開いたことをセンサスイッチが検出
した後、移送アーム28の作動が開始可能となる。ドア14
を閉じるためには、ドア14が閉じたことを他方のセンサ
スイッチが検出するまで、シャフト24が反時計方向に回
転される。
移送アーム28は2度の自由度を有する。つまり、移送
アームは垂直及び水平移動可能である。一方向の移動に
よって、移送アーム28は真空ウェハキャリヤ10内へ、あ
るいは室間移送ポート30を介して隣接の処理モジュー
ル、例えば処理モジュール570(第9図)内へと達し得
る。もう1つの自由度は移送アーム28の垂直移動と対応
し、真空ウェハキャリヤ10内からどのウェハを取り出す
か、あるいは移動動作中にどのスロットにウェハが置か
れるかの選択を可能とする。
昇降駆動モータ32が移送アーム28を昇降させ、アーム
駆動モータ34が移送アーム28を伸縮させる。これら両モ
ータは排気マニホルド36内に収納されているので、どち
らのモータも真空フィードスルーを必要としない。第1
図に示すように、マニホルド36は円筒状で、室12の底か
ら下方に延びている。またマニホルド36は室12の底を貫
いて室12内へわずかに延び、且つそこに取り付けられて
いる。室12の取付点とは反対側のマニホルド36の端部に
ポンプ38が位置する。ポンプ38は、例えばターボ分子ポ
ンプである。排気マニホルド37は、真空装填ロック室12
内へ直接通じるのではなく、その頂部(室12内へと延出
したマニホルド36の先端)周囲に開孔40を有する。つま
り排気マニホルド36は、昇降駆動モータ32、アーム駆動
モータ34またはポンプ38から真空装填ロック室12へと直
接届く視線が存在しないように構成される。これによっ
て、可動要素からの粒子の装填ロック室内へと至る弾道
的輸送が減じられる。第1図に示した構成が有用である
ことが判明しているが、ウェハ48の必要な移送を与える
のにそれ以外の構成も可能である。
昇降駆動モータ32は副支持台42を上下に駆動するよう
に結合され、アーム駆動モータ34はマニホルド36内でこ
の副支持台42上に取り付けられている。モータ43はマニ
ホルド36内に固定されている。モータ32の駆動シャフト
がネジ軸510を駆動する。ネジ軸510は、副支持台42内の
ネジ山を介して延びていて、モータ32の駆動軸の回転方
向に応じ副支持台42を上下に駆動する。3本のロッド52
0、521及び522が副支持台42を貫いて延び、且つ副支持
台と摺動係合可能である。これらのロッドはマニホルド
36の町歩に固着されている。また副支持台42には、筒状
の支持体46も固着されている。かかるマニホルド36内の
リンク機構によって、移送アーム28は垂直方向に容易に
移動可能である。
移送アーム28を極めてコンパクトに移動可能とする別
のリンク機構が、回転可能な移送アーム支持体44内に設
けられている。つまり、筒状支持体46が副支持台42から
上方へ、マニホルド36の頂部を貫いて延びている。回転
可能な移送アーム支持体44は、筒状支持体46内の回転ロ
ッド(図示せず)によって駆動可能に接続されている。
そして、筒状支持体46がアーム支持体44に固定されてい
る。つまり、回転ロッドは、アーム駆動モータ34によっ
て駆動され、そしてアーム支持体44を駆動する。回転可
能な移送アーム支持体44は、回転しないが上下に移動す
る筒状支持体46に取り付けられている。回転可能な移送
アーム支持体44と移送アーム28とのジョイントが回転可
能な移送アーム支持体44と筒状支持体46とのジョイント
の角速度の2倍で移動するように、内部のチェーン及び
スプロケットリンク機構が構成されている。勿論同じ結
果を達成するのに、それ以外の多くの機械的リンク機構
も代りに使える。これは次のことを意味する。すなわ
ち、回転可能な移送アーム支持体44がホーム位置にある
とき、ウェハ48は筒状支持体46のほゞ真上に支持されて
いるが、回転可能な移送アーム支持体44が筒状支持体46
に対して90度回転するとき、移送アーム28は回転可能な
移送アーム支持体44に対して180度回転されるので、移
送アーム28は、真空ウェハキャリヤ10内へと、あるいは
室間移送ポート30を貫いて隣接の処理室内へと真っすぐ
に延出可能である。このリンク機構は、参考文献として
こゝに含まれる1987年4月21日付でデービス(Davis)
等に付与された米国特許第4,659,413号に詳しく記載さ
れている。
移送アーム28は、例えば0.762mm(0.030インチ)の厚
さの薄いバネ鋼片から成る。移送アーム28は3本のピン
50(第1及び3図)を有し、ウェハ48を支持する。3本
のピン50は各々、小肩1900(第3図)上に小円錐体52
(第3図)を含む。小円錐体52と小肩1900は、シリコン
を傷つけないように充分軟質の材料で作製される。例え
ば、移送アーム28のうち搬送されるウェハと実際に唯一
接触するこれらの部分は、アーデル(Ardel−ユニオン
・カーバイト社製の熱可塑性フェニルアクリル樹脂)ま
たはデルリン(Delrin)等の高温プラスチック(つまり
真空下で比較的蒸発しにくいプラスチック)で作製でき
る。尚、各3本のピン50の中心に小円錐体を設けること
で、ウェハ48の移送アーム28に対する非常にわずかな不
整合が補正可能となる。すなわち、こゝに記すウェハ搬
送システムは、連続作業中における小さな不整合が累積
せず、減少される安定な機械的システムである。ウェハ
48とピン50間の接触は、ウェハのエッジでだけ生じる。
図示したウェハ48の位置において、3本のピン50のう
ち1本がウェハ48の外周49(第4図)の平坦部56(第4
図)と接していることに注意されたい。これは本実施例
において、移送アーム28の3本のピン50は、取扱うべき
ウェハ48の直径と同直径の円に限定しないことを意味す
る。
各ウェハ48の平坦部56(第4図)がウェハの正確な取
扱いと干渉しないことを保証するため、真空ウェハキャ
リヤ10はその内部背側に平坦な接触面29を有し、各ウェ
ハ48の平坦部56がこれに接する。ドア14の内面上の弾性
要素27(第4図)が、ドア14の閉じている状態で各ウェ
ハを平坦面に対して押圧し、移行中におけるウェハとキ
ャリヤの相対移動が最小限化される。つまりウェハは突
起60とすり合わない。これはまた、ドア14が開かれたと
きに、各ウェハ48の平坦部56の位置が正確に分かること
を保証する。すなわち、ウェハは既知の所定の位置合せ
状態にある。
動作時には、真空ウェハキャリヤ10が真空装填ロック
室12内に置かれ、ドア14が開かれた後、昇降駆動モータ
32が作動されて移送アーム28を取り出したい最初のウェ
ハ48の高さのすぐ下に移動させ、次いでアーム駆動モー
タ34が作動されて移送アーム28をキャリヤ10の内部へと
延ばす。これが、第1図に示したアーム28の3位置のう
ち最左位置である。こゝで昇降駆動モータ32を少し作動
すると、移送アーム28がわずかに上昇し、その外周49の
3本のピン50が所望のウェハを、それまで真空ウェハキ
ャリヤ10内で載置されていた突起60(第4図)から持ち
上げる。
尚第4図に示すように、突起60は平坦面でなくテーパ
面なので、突起60とその上に載っているウェハ48との接
触は面接触でなく線接触で、ウェハのエッジに制限され
る。これは、数十平方ミリにもなることのあるキャリヤ
とウェハ間の大きい面積での接触を防ぎ、こゝで用いる
“線接触”は一般に数平方ミリ以下のはるかに小さい面
積でよい。本実施例で用いる“線接触”の別の定義とし
て、ウェハ支持体がウェハの表面とそのエッジから1ミ
リ以内の地点でのみ接触する。つまり、移送アーム28を
上昇することによって、ウェハ48が取り出され、移送ア
ーム28上面の3本のピン50の小円錐体52が小肩1900上に
載置される。
突起60は、真空ウェハキャリヤ10内で約4.75mm(0.18
7インチ)の中心−中心間隔を有する。この中心−中心
間隔からウェハ48の厚さを引いた値は、移送アーム28と
各3本のピン50を加えた高さと比べて充分なクリアラン
スを与えればならないが、それよりはるかに大きくする
必要はない。例えば、移送アーム28は各3本のピン50の
小円錐体52の高さを含め、約2.03mm(0.080インチ)の
厚さである。ウェハ48は例えば約0.53mm(0.021イン
チ)の厚さにできるので、約2.16mm(0.085インチ)の
クリアランスが得られる。ウェハの厚さと直径は広く変
化し得る。一般に、大きい直径のウェハほど大きい厚さ
を有するが、真空ウェハキャリヤ10のサイズ及び真空ウ
ェハキャリヤ10内の突起60の中心間隔は簡単に適宜調整
できるので、この種の真空ウェハキャリヤ10はそうした
直径の大きいウェハに対して使うのにも適する。またキ
ャリヤ10は、所望に応じ、例えばGaAs等、もっと薄いウ
ェハを搬送するのにも適している。
移送アーム28がウェハ48を取り出した後、アーム駆動
モータ34が作動され、移送アーム28をホーム位置(第1
図に示した中央位置)に移動する。これは、第1図に示
すように移送アーム28の中央位置である。次いで昇降駆
動モータ32が作動され、室間移送ポート30(第3図)内
へと移動可能な高さに移送アーム28を移動させる。
室間移送ポート30は、隔離ゲート31によって覆われて
いる、第3図に示したゲート31は、摺動接触を行うこと
によって室間移送ポート30を密閉する。シャフト580が
(第3図に示すように)回転されると、設けられたリン
ク機構がゲート31を(第3図に示すように)上方に駆動
し、ポート30を覆う。ポート30を開くには、シャフト58
0が反対方向に回転される。所望なら、回転移動によっ
て密閉を行うこともできる。(尚、摺動接触が存在しな
い方が、内部発生粒子を減じる点で望ましい。)室間移
送ポート30を覆う隔離ゲート31は空気シリンダによって
作動できるが、その代りにステップモータも使える。こ
のように、合計4つのモータが使われる。すなわち、そ
のうち2つが真空フィードスルーのために用いられ、残
りの2つが排気マニホルド36内に含まれている。こゝで
アーム駆動モータ34が再び作動され、移送アーム28を室
間移送ポート30を介して隣接の処理室内へと延出させ
る。これが、第1図に示したアーム28の最右位置であ
る。隣接の処理室は、例えば注入器、プラズマエッチ及
び蒸着モジュールのようにここに開示された処理モジュ
ールあるいはその他の処理モジュール等、数多くの異な
る種類の処理モジュールのうちのどの1つであってもよ
い。
室間移送ポート30を貫いて延びた移送アーム28は、移
送アーム28自体に用いられたピンのように、第3図に示
すようにウェハ支持ピン53上にウェハ48を置く。(尚室
間移送ポート30は、移送アーム28が室間移送ポート30を
貫いて延びるときに、充分な垂直高さを有しているの
で、ある程度の垂直移動が可能となり、その結果移送ア
ーム28が垂直方向に移動して、例えば処理室内のウェハ
支持ピン53等のウェハ支持体からウェハを持ち上げたり
あるいはその上へウェハを置くことができる。ウェハ48
はアーム28によって、ピン53の頂部上に置かれる。
あるいは、処理室は、移送ボックス内に突起60と同様
な離間した傾斜突起を有する固定具を具備してもよい
し、あるいはウェハを受け入れるその他の機械的構成を
有してもよい。但し何れの場合にも、移送ウェハ48を受
け入れるのに使われる構成は、移送アーム28がウェハの
下面に達してそれを設置または除去できるように、(少
なくとも移送時に)ウェハの下面にクリアランスの持た
なければならない。移送ウェハを受け入れるのにウェハ
支持ピン53が使われる場合には、処理室内におけるウェ
ハ支持ピン53の垂直移動を与えるため、ベローズ(bell
ows)運動または真空フィードスルーを設けるのが望ま
しいこともある。つまり一例として、処理室がプラズマ
エッチングまたはRIE(反応イオンエッチング)モジュ
ールである場合にはベローズを設け、移送アーム28がウ
ェハ48の経路から引き出された後、ウェハ48を例えば垂
直方向にサセプタ上へと移動してもよい。
勿論、処理室は、例えばエンジニアリング検査モジュ
ールまたは蒸着モジュールとし得る。一例として、真空
隔離式顕微鏡の対物レンズは、真空内で下向きに置いた
ウェハの検査を(適切に折り曲げられた光路を用いて)
可能とする。これは、クリーンルームへの頻繁な出入り
によって生じるエンジニア時間及びクリーンルームの品
質を損わずに、頻繁なエンジニア検査を適宜行えること
を意味する。所望なら、検査モジュールを他のモジュー
ルと組合せも可能である。
何れにせよ、移送アーム28を引き出した後、所望なら
ゲート31が閉位置に移動されてポート30を閉じる。その
後、ウェハ48の処理が進行する。処理の終了後、室間移
送ポート30を覆っている隔離ゲートが再び開かれ、移送
アーム28が再び延出され、移送アーム28がウェハ48を取
り出すように昇降駆動モータ32が少し作動され、更にア
ーム駆動モータ34が再び作動されて移送アーム28がホー
ム位置へと戻す。次いで、昇降駆動モータ32が作動され
て移送アーム28を正しい高さとし、真空ウェハキャリヤ
内の所望なスロットにウェハ48を一致させる。その後、
アーム駆動モータ34が作動されて移送アーム28を真空ウ
ェハキャリヤ10内へと延出し、処理されたばかりのウェ
ハ48が一対の突起60の上方に位置するようになる。次い
で、昇降駆動モータ32が少し作動されて移送アーム28を
降下させ、ウェハが対応する突起60上に載置された後、
アーム駆動モータ34が作動させ、移送アーム28をホーム
位置に後退させる。その後上記の工程順序が繰り返さ
れ、移送アーム28が更に別のウェハを処理のために選択
する。
尚、上記した移送アーム28と回転可能な移送アーム支
持体44の機械的なリンク機構によれば、移送アーム28と
移送アーム支持体44の中心−中心間長さが等しいと、移
送されるウェハが正確に直線状に移動する。この点は長
所である。ウェハが移送ボックスから出し入れされると
き、移送ウェハの側縁が真空ウェハキャリヤ10の側面に
ぶつかったり、こすったりしないことを意味するからで
ある。つまり、金属製のボックス側面に対するウェハの
摩擦による粒子発生の危険を伴わずに、真空ウェハキャ
リヤ10のクリアランスを比較的小さくできる(これは、
キャリヤ内での移送中にウェハがガタガタすることによ
る粒子の発生の減少に寄与する)。
こうして真空ウェハキャリヤ10内の全ウェハ(または
少なくともその中の所望数だけ)が処理されるまで、ウ
ェハ毎に処理が続けられる。終了時点には、移送アーム
28が空の状態でホーム位置に戻され、ドア14の下縁より
下げられ、更に空間移送ポート30を覆う隔離ゲート31を
閉じられる。次いで、シャフト24は、回転されてドア14
を閉じ、そしてドア14と真空ウェハキャリヤ10との間に
真空シール用の初期接触を与え、その結果装填ロック内
の圧力が上昇されたとき、真空ウェハキャリヤ10は(差
圧によって)密封される準備が整えられる。こゝで、真
空装填ロック室12が再び加圧可能となる。圧力が大気圧
になったことを真空計62の示差センサが判定した所で、
装填ロック蓋20を開けることができ、(現在差圧によっ
て密閉されている)真空ウェハキャリヤ10を手で取出可
能となる。通常、キャリヤの頂面に折りハンドル11が設
けられ、装填ロック内で真空ウェハキャリヤ10に必要な
容積を大巾に増すことなく手での取出作業を容易にす
る。
真空ウェハキャリヤ10は取出後、所望に応じて運搬ま
たは保管できる。真空シール13が真空ウェハキャリヤ10
内を高真空に保っているので、ウェハ表面への粒子の輸
送(及び蒸気相汚染物の吸着)が最小にされる。デバイ
スを構成するために処理中のキャリヤ10内に位置したウ
ェハの表面は、その表面への粒子の沈着を防ぐため下向
きにする。
尚、真空ウェハキャリヤ10は、そのドアに取り付けら
れた弾性要素27も含む。ドア14が閉じられたとき、弾性
要素27が軽い圧力をウェハ48に加え、ウェハがガタガタ
して粒子を発生するのを防ぐ。弾性要素27は図示の実施
例では一組のバネとして構成されているが、その他の機
械的構造(例えば弾性ポリマー製の突出ビーズ)も使え
る。使用するウェハが平坦面を有する場合には、真空ウ
ェハキャリヤ10の内側背面に平坦な接触面29が設けら
れ、ウェハの平坦面がそれに押圧されるようにする。
また、真空ウェハキャリヤ10の側壁上の突起60はテー
パ状である。これによって、ウェハの被支持面との接触
が大きな面積でなく、線に沿ってのみ生じることを保証
する。この結果、搬送中におけるウェハの損傷と粒子の
発生が減少される。また、これによって前述のごとく、
位置決め誤差の累積も減少できる。機械的ジャムが発生
した場合、そのジャムの検査を可能にする窓(図示せ
ず)を、装填ロック蓋20に備えることもできる。
上記実施例の利点は、機械的な作動不良が発生した時
に、その問題を処理する前に、真空ウェハキャリヤ10の
ドアを閉じることができる点にある。例えば、移送アー
ム28がウェハを幾分取り出した所で、ウェハが3本のピ
ン50の全ての上に正しく載置されない場合、その問題を
処理する前に、ドア駆動モータ33を作動してドア14を閉
じることができる。同じく、移送アーム28がホーム位置
へ後退可能なら、空間移送ポート30を閉じることができ
る。こうした一部の機械的未調整の問題は、通常の制御
順序から外れることによって、簡単に補修可能なことも
ある。例えば、移送アーム28上におけるウェハ48の位置
は、ウェハ48のエッジがドア14の外側または室間移送ポ
ート30を覆っている隔離ゲートの外側へわずかに接する
ように、移送アーム28を幾らか延ばすことによって調整
できることもある。これでうまくいかなければ、真空装
填ロック室12を(真空ウェハキャリヤ10のドア14を閉じ
て)大気圧に戻し、装填ロック蓋20を用いて、その問題
を手操作で補修できる。
第6、7及び8図は、反応イオンエッチングに使用可
能な1つのウェハ反応器を示す。本願で説明する多くの
処理モジュールには、上記実施例の着想及び利点の少な
くとも一部と、その実施例から導られた別の着想及び利
点とが合せて含まれている。非常によく似た反応器の設
計をプラズマエッチング、すなわち100mTorrより高い真
空でのエッチングにも使える。当該分野で“プラズマエ
ッチング”及び“反応イオンエッチング”(つまり“RI
E")という用語は区別されることが多く、RIEはプラズ
マ衝撃が大きい、すなわち低圧での条件下におけるエッ
チングを指すのに使われ、ウェハは給電されている電極
上に取り付けられる。但し本願では、この区別を厳密に
行わない。本願によって教示される幾つかの特徴の一部
はRIEのエッチングプロセスにおける場合の方が有利だ
が、本願の教示は通常区別されているプラズマとRIE両
エッチングに適用可能である。
第6図は、後述する第5A及び5B図に示すような処理シ
ステムで使用可能な処理モジュール104を示す。
第6図は、反応イオンエッチングまたはプラズマエッ
チングで使用可能な単一ウェハ反応器を示している。前
述したように、移送アーム28は、ウェハ支持ピン53(第
4図)上に置き、次いで後退する。この時点で、室11
2、アース電極110、処理ガス分配器120、ベース板138及
び水晶製円筒体114を含む下方アセンブリ全体が、例え
ば空気シリンダまたは真空フィードスルー(図示せず)
等を用いて上方向に移動される。ベローズ124が、モジ
ュール104の内部との真空密相互接続を保ちつゝ、上記
の垂直動を可能とする。この垂直動により、ウェハ支持
ピン53上に載っているウェハの背面が給電電極118に接
触し、この時点でウェハ支持ピン53の下面に取り付けら
れた摺動ピン支持体130が板バネ132に抗してわずかに後
退する(ウェハが強すぎる力で給電電極118に対して押
圧されないように、摺動ピン支持体130の少量の弾力性
を保証するため、板バネ132に代え他の弾性要素も使え
る。) アセンブリの上方向の動きの最後の部分によって、シ
ール135(第6図)が、室112の頂部の水晶製円筒体114
と給電電極118を取り囲む水晶片116との間で閉じる。つ
まり、このシールが成されると、処理室の内部は処理モ
ジュール104の残りの内部から真空密閉される。
ヘリウム吹出ポート134が設けられ、ヘリウム供給源
をウェハの背面に接続している。このヘリウム空間は、
給電電極118の下方点とウェハとの間の空間が真空でな
くヘリウムで満たされていることを意味する。この状態
は、相当に低い熱抵抗、及びウェハと給電電極118との
間での高反復性の熱接触を保証する。給電電極118に
は、冷媒を共有可能な冷媒マニホルドスペース136を含
めることもできる。
別の実施例では、ピン53は、板バネ132で支持された
摺動ピン支持体130上に取り付けられず、固定される。
ヘリウム吹出ポート134がウェハの背面と給電電極118の
表面との間で良好な熱接触を保証するので、千分の数イ
ンチの交差によってウェハ48に対する給電電極118の良
好なRF結合が可能になり、そして、給電電極118とウェ
ハ48との間での良好な熱接触も可能になる。またこの大
きさの交差は、上方部に対して下方室部を確実に密閉で
きるようにするため、室壁の熱膨張、シールの厚さの変
化、ウェハの厚さの変化等に対するゆとりを充分に与え
るべきものである。尚この実施例では、ウェハの表面に
隣接したプラズマの横方向の広がりを最小限とするた
め、水晶製円筒体114と水晶片116はわずかに異なる形状
とするのが有効である。但し、摺動ピン支持体130を使
えば、第7図に示すようにプラズマをウェハ面48のより
近くに閉じ込められることが判明している。
第7図は閉じた位置にある第6図の処理モジュールの
上方部を示し、ウェハ48が処理のためそこに保持されて
いる。反応器を閉じた後、ヘリウム吹出ポート134(第
6図)を介してヘリウム吹出が開始可能となる。同時
に、処理ガス分配器120を介して所望の処理ガスを供給
できる。
処理ガス分配器120は、存在するRF電力から渦電流を
拾わないように、水晶からなっている。また、水晶の表
面は高絶縁性なので、水晶に近いプラズマ境界には、ア
ースされている導電要素に近いプラズマ境界に生じるほ
ど、大きな境界間電圧及び電流が生じない。これは次の
ことを意味する。すなわち、水晶の近くでのプラズマに
よる反応は、アースされている導電要素近くで生じるほ
ど高い比率で生じないので、被着が減少される。また、
水晶はかなり良好な熱絶縁性なので、サセプタの温度が
(プラズマからの放射によって)100または200℃に上昇
する点にも留意すべきである。分配器の温度上昇はそこ
への被着を一層減じるため、上記の点はある処理におい
て有利である。
一般的なRIE動作条件(10〜200ミクロン圧、100〜800
ワットの供給電力)下では、発生プラズマが給電電極11
8とアース電極110との間の室をほゞ一様に満たす。従っ
て、処理ガス分配器120はプラズマ中で最も密な部分へ
と突出する。処理ガス分配器120は処理すべきウェハの
直径のほゞ半分のリング状であって、中空の支持体がベ
ース板138上に取り付けられたガス接続部140(第6図)
に導かれている。水晶製の処理ガス分配器120には迅速
接続マウントが設けられているので、所望に応じ迅速且
つ容易に交換できる。
処理ガス分配器120は、例えば4cmだけウェハの表面か
ら離間しているのが有効である。この間隔、処理ガス分
配器120の正確な形状、及びガス分配器に対する気体供
給ポート122の間隔はそれほど重要でない。これらのパ
ラメータは所望なら変更できるが、変更する場合には、
処理ガス分配器120のガス供給ポート122からの処理ガス
の拡散及び処理ガス生成物が次のものを与えるように上
記パラメータを選択すべきである。1)ウェハ48の面で
のプラズマ境界へ向かう処理ガス及び処理ガス生成物の
拡散支配の輸送、及び2)ウェハ48の面近くのプラズマ
境界における処理ガス及び処理ガス生成物のほゞ一様な
濃度。例えば、処理ガス分配器120とウェハ面との間隔
は1〜15cmの範囲なら何れともし得る。
これらの低圧条件下で、且つ給電電極118のプラズマ
との接触面積(この実施例ではウェハ48の面積と実質上
等しい)対アース電極面積(この実施例ではアース電極
110の面積に室112の内面積のベース板138の上方に露出
面積とを加えた和に実質上等しい)との大きい面積比が
与えられることで、高密度のプラズマ衝撃がウェハ面54
で生じる。
アース電極110は、アース電極110内のマニホルド空所
に接続された冷却ライン150(第6図)を用いて冷却可
能である。追加の冷却が必要なら、室112も冷却し得
る。尚、前述のごとく下方エッチング室138の全体が垂
直方向に移動できるように、冷却ライン150は可撓性の
ホースである。同じ理由から、ガス接続部140を介して
処理ガスを処理ガス分配器120に供給するガス供給管152
も可撓性である。これらのホースの屈曲が過剰の粒子を
生じることが分かった場合には、ベース板138の側面を
介したベローズ124の外からのガス供給を代りに行うこ
ともできる。
第8図は、第6図の反応器の平面図を示す。処理ガス
分配器120の形状は、この平面図からより明瞭になろ
う。また、ベース板138はアース電極110の周縁に沿って
ある程度のスペースを含み、これがガス供給ポート122
(第6図)から下方の真空ポンプへ至る通路を与えるこ
とも明らかであろう。反応器内のガス流は全てウェハの
面から下向きであるので、この点も粒子の減少を促す。
任意選択の変形として、重要な容積内における粒子数の
増加を検出可能とし、そして粒子カウントが選定レベル
に達するまで室112の開放を遅らせられるように、室112
内に実位置真空粒子カウンタを設けてもよい 所望のエッチング作業の終了後、処理ガス分配器120
を介して供給されるガスが遮断され、処理モジュール10
4が処理モジュールの残部と同じ圧力(10-3Torr以下)
にポンプ排気される。次いで、処理モジュールの熱安定
化または存在し得る浮遊粒子の放出のための放置時間を
場合に応じて介在させた後、処理モジュール104を開
き、移送アーム28が上述のように作動されてウェハを室
12から取り出す。移送アーム28の室12に対する位置は、
第1図に示したアース28の最右位置である。
尚、上記の作業は全て非常に容易に制御できる。サー
ボや複雑な負帰還機構は必要ない。上述のモジュールは
全て簡単なステップモータなので、この種の複数モジュ
ールはシングルコンピュータ制御システム206(第10
図)によって制御可能である。システム全体としての機
械的安定性−すなわちウェハ支持ピン53のテーパ、真空
ウェハキャリヤ内の突起60の傾斜、及び真空ウェハキャ
リヤ10の背壁の平坦接触面29によって生じるわずかな位
置決め誤差の固有補正−が小誤差の累積を防ぎ、そして
制御を容易とする。
簡単な制御というこの利点は、機械的な整合が十分制
御されるために、一部達成される。前述したように、真
空ウェハキャリヤ10の位置整合台18との嵌合合体が機械
的な整合の一要素を与える。何故なら、移送アーム28に
対する位置整合台18の位置は正確且つ永久的に較正可能
だからである。同じく、真空ウェハキャリヤ10は各寸法
について制御する必要がなく、位置整合台18と係合する
真空ウェハキャリヤ10の底(またはその他の部分)に対
して突起60の位置及び向きが正確に分るように制御され
るだけでよい。この点は、前述のごとく、真空ウェハキ
ャリヤ10が位置整合台18上に載置されるまで摺動するチ
ャネルを設けることによって達成される。しかし、その
他多くの機械的構成も代りに使える。コンピュータ制御
システム206による更に別の制御及び補正動作のため、
各種の電子的及び機械的センサでシステムの位置及び動
作に関する情報を与えることもできる。
同様に、移送アーム28のホーム位置とウェハを処理室
内へと挿し込む3本のピン50(またはその他の支持構
成)との間でも、機械的な整合が達成されねばならな
い。但し、この機械的な整合は、簡単な1回の設定較正
とすべきである。尚、前述したように、ドア14が閉じら
れる度に、その内部のバネ要素が各ウェハ48を真空ウェ
ハキャリヤ10の平坦接触面29に対し押圧するので、角度
の位置決めは真空ウェハキャリヤ自体によって保持され
ている。真空ウェハキャリヤ10での別個のポンプ排気を
可能とするため、迅速接続式の真空取付具を真空ウェハ
キャリヤ10に任意選択として設けることもできる。
上記の装填ロック機構は真空ウェハキャリヤ10と組合
せて用いる方が有効だが、それだけに限られない点に留
意すべきである。この装填ロックは、内部が大気圧の真
空ウェハキャリヤとも組合せて使える。これは別の実施
例であるが、参考文献として含まれる1984年8月27日発
行のバイマ(Bimer)等による米国特許第4,609,103号に
示されているような従来の装填ロック動作と比べ、前述
のごとき多くの利点を持っている。
また、前述の真空ウェハキャリヤ10は、任意の所望数
のウェハを支持するように異なるサイズで作製可能な点
にも留意すべきである。更にこの種の真空ウェハキャリ
ヤ10は、その他最大限まで任意の所望数のウェハを運搬
または保管するのに使える。これは、計画及び処理機器
の割当補給における別の融通性を与える。
第5A図は、更に別の実施例を示している。この実施例
において、各々が真空ウェハキャリヤ10を含む2つの装
填ロックが共に処理ステーション102に接続されてい
る。この処理ステーション102は、4つの処理モジュー
ルを含んでおり、そのうち2つ以上は、処理モジュール
104またはこゝに開示する他の処理モジュール、あるい
はそれ以外の任意な適切のモジュールである。前記の実
施例と異なり、移送アーム28は室間移送ポート30を介し
て真空装填ロック室12から処理ステーション102内へと
達したとき、ウェハ48を2つのウェハステージ105の一
方上に置く。これらのウェハステージ105はピン53と同
様の3ピン支持体または2突起支持体であり、あるいは
ウェハを支持体上に置いた後、移送アーム28がウェハと
接触せずに下降して後退するように支持されたウェハの
下方にスペースが存在する限り、その他の機械的構成と
もし得る。用いるウェハ支持体は、大きな面積に及ぶ接
触ではなく、ウェハの下面またはエッジと線接触をなす
ようにすべきである。
別の移送アームアセンブリ106が、処理ステーション1
02内に設けられている。この移送アームアセンブリは、
室12内で使われているような移送アーム28、回転可能な
移送アーム支持体44及び筒状支持体46とほゞ同様だが、
幾つか相違も存在する。第1に、前記装填ロック内で使
われた移送アーム28は、ウェハを直線状に移動しさえす
ればよい。これに対し、移送アームアセンブリ106は、
処理モジュール104の任意の1つを選択すめために、半
径方向にも移動可能でなければならない。つまり、追加
の自由度が必要である。第2に、移送アームアセンブリ
106の到達範囲は、装填ロック内で使われている移送ア
ーム28、回転可能な移送アーム支持体44及び筒状支持体
46と同じにする必要がなく、実際には処理モジュール10
4の適切な間隔を可能とするために、移送アームアセン
ブリ106の到達範囲はもっと大きくし得る。第3に、移
送アームアセンブリ106は、装填ロックで使われる移送
アーム28ほど垂直方向に移動する必要がない。第4に、
図示の構成では、移送アームアセンブリ106がウェハの
平坦面と接するピン50の1本を有していないので、同一
の直径のウェハを取扱う場合であっても、3ピン50によ
って限定される円の直径は移送アーム28及び128と同一
でない。
アセンブリ106の筒状支持体は回転可能とでき、この
場合にはその回転を駆動する第3のモータが設けられ
る。つまり、移送アーム用の第3の自由度が与えられ
る。同じく、アセンブリ106の移送アーム128の寸法は所
望に応じ簡単に変更できる。つまり、移送アームアセン
ブリ106は、移送アーム支持体144上に回転可能に取り付
けられた移送アームを含む。筒状アーム支持体144は筒
状支持体(図示せず)に旋回可能に取り付けられ、移送
アーム支持体144に固定された内部シャフトが筒状支持
体を貫いて下方に延びている。2対1のギヤ機構を含む
内部のチェーンドライブは、筒状支持体146と移送アー
ム支持体144との間の差回転を更に別の差回転、すなわ
ち移送アーム支持体144と移送アーム128との間の角度の
2倍へと変換する。移送アームアセンブリ106の下方に
取り付けられたアーム駆動モータが、移送アーム支持体
144に固定されたシャフトを回転するように結合されて
いる。またアーム回転モータが、筒状支持体146を回転
するように結合されている。更に、昇降機構が移送アー
ムアセンブリ106の垂直方向の動きを与える。
尚、移送アームアセンブリ106に必要な垂直方向の動
きは一般に真空装填ロック室12内の移送アーム28に必要
な垂直方向の動きほど大きくない。なぜならば、移送ア
ーム128は、一般に真空ウェハキャリヤ10内における位
置のように幾つかの垂直方向に分離したウェハ位置のう
ちの1つを選択する必要がないが、一般に全てほゞ同一
平面内にある多数の可能なウェハモジュールからウェハ
を取り出して置くのに使われるだけだからである。従っ
て任意選択として、移送アーム128の垂直方向の動き
は、前述した昇降モータアセンブリでなく空気シリンダ
によって制御し得る。
つまり、移送アーム支持体144と同時にアセンブリ106
の筒状支持体を回転することによって、筒状アームアセ
ンブリ106は延出されることなく回転可能である。移送
アームアセンブリ106を所望の位置に回転した後、筒状
支持体146を固定したまゝ移送アーム支持体144を回転す
れば、アーム28に関連して前述したように移送アーム12
8は延出可能である。
すなわち、移送アーム28が真空装填ロック室12の1つ
から処理すべきウェハ48をウェハステージ105の1つの
上に置いた後、移送アームアセンブリ106は回転され、
必要ならばウェハの下方に移送アーム128がくるように
低い位置で延出され、移送アーム128がウェハ48を取り
出すように上昇され、そしてホーム位置に後退される。
次に、移送アームアセンブリ106は再び回転され、そし
てウェハが処理モジュール104の1つのウェハ支持体上
方または他方のウェハステージ105上方にくるように移
送アーム128は延出される。移送アームアセンブリ106を
降下することによって、ウェハ48は処理モジュール104
内のウェハ支持体またはウェハステージ105上に置か
れ、こゝで移送アーム128は後退可能となる。
処理モジュール104は主処理ステーション102から密閉
分離でき、ウェハについての個々の単一ウェハ処理が開
始可能となる。一方、移送アーム128と28は他の動作を
実施できる。処理モジュール104内のウェハの処理が完
了すると、その処理モジュール104は処理ステーション1
02の内部と同じ低圧にポンプ排気され、処理モジュール
104は開放可能となる。こゝで移送アームアセンブリ106
が作動されてそのウェハを取り出し、一方のウェハステ
ージ105上または別の処理モジュール104内へのいずれか
にそのウェハを移す。
かかる実施例の1つの利点は、全ての処理モジュール
104が同じ作業を行うように構成でき、かなり遅い処理
作業の場合でも、処理ステーション102内に充分な数の
処理モジュール104を設ければ、ウェハの搬送にだけ制
限された処理量が可能となり、あるいは異なる処理モジ
ュール104内で異なる作業を行える点にある。
すなわち、吸着汚染物や天然の酸化物に原因する処理
変化が除かれるため、かかる実施例によって、望ましい
ものとしてますます強く認識されている逐次処理が容易
に使用される。例えば、2つのプロセスモジュール104
を酸化物成長用に、1つを窒化物窒化用に、更に1つを
ポリシリコン被着用にそれぞれ構成すれば、酸窒化物ポ
リ−ポリコンデンサの同一位置での完全作業が可能とな
る。また、異なる処理モジュール104内に異なる処理工
程を設けることは、どのウェハがどの装置へ行くべきか
を人手確認に頼らず、適切な作業をプログラミングする
ことによって、多数のロック分割及び処理の変化が同時
に実施可能なことを意味する。つまり、それぞれ異なる
サンプル処理モジュール104内で異なる作業を進行させ
る能力が、処理上の融通性を更に与える。
また、ウェアの転送順序全体は完全に任意であり、所
望に応じて選択し得る。例えば、1つの真空ウェハキャ
リヤ10からのウェハを完全に処理した後その真空ウェハ
キャリヤ10に戻し、その処理し終ったウェハを含む真空
装填ロック室12を処理ステーション102から密閉分離
し、処理後のウェハで満たされた真空ウェハキャリヤ10
を該真空装填ロック室12から取り出す間、別の真空装填
ロック室12内に入れた別の真空ウェハキャリヤ10内のウ
ェハを処理することができる。あるいは、本構成のプロ
グラム能力とランダムアクセスの特性を用い、2つの真
空ウェハキャリヤ10間において任意の所望な方法でウェ
ハを組み換え及び交換することもできる。
また、本構成は2つの真空装填ロック室12ももしくは
4つの処理モジュール104に全く制限されないが、この
構成は、処理ステーション102内における別の数の処理
モジュール104、処理ステーション102に取り付けられる
別の数の真空装填ロック室12、あるいはステーション内
における2以上の移送アームアセンブリ106の使用へ
と、所望なら、変更可能である点も留意されるべきであ
る。
尚、本構成はウェハの向きを保存するものである。ウ
ェハが真空ウェハキャリヤ10内でそれらの平坦部56を真
空ウェハキャリヤ10の背面の平坦接触面に向けて支持さ
れているとすれば、それらウェハは平坦部56を処理ステ
ーション102の中心の方に向けてウェハステージ105上に
置かれる。移送アームアセンブリ106はこの向きを維持
するので、ウェハ48は何れかの真空ウェハキャリヤ10内
に置き換えられたとき、その平坦部56が真空ウェハキャ
リヤ10背面の平坦接触面29に向く。
第5B図は、処理モジュール104等こゝに示す処理モジ
ュールのうち任意のもの、あるいは他の適切な処理モジ
ュールとし得る3つの処理モジュール554を有する処理
ステーション550を示す。処理モジュール554は全て同
種、各々異種、または2つ同種で残り1つは異種の処理
モジュールとすることができる。第6図の移送アームア
センブリと同様な移送アームアセンブリ558が、コンピ
ュータ制御システム562の制御下で、ウェハを任意の処
理モジュール554間において任意の順序で移送する。真
空装填ロック室565と566は第1図の室12と同様である。
移送アームアセンブリ558は、モジュール554と室565、5
66とに達して、複数のウェハ(第5B図にはウェハ48だけ
を示す)を取り出しまたは引き渡し可能である。コンピ
ュータ制御システム562は、モジュール554、アセンブリ
558及び室565、566に必要な制御を与える。ウェハの移
送ルートは、任意の所望な処理モジュール554間で、任
意の室565、566から任意の処理モジュール554へ、及び
任意の処理モジュール554から任意の室565、566へと可
能である。
室12(第1図)に関連して前述したように、任意の室
565、566内での処理作業前及び後において装填ロック及
び処理室の動作を制御するのに通常閉ループの制御シス
テムが設けられている。
第9図は第6図の処理モジュールの改良例を示し、こ
の実施例は、その場合で(in situ)発生される紫外線
によって処理を高める能力を含み、またウェハ面から離
れた補助のプラズマ放電を介してウェハ面へ至るガス流
によって生じる活性化種を与える能力も与えられてい
る。モジュールは、1つのモジュールと1つの真空装填
ロックだけを含む処理ステーション570内に示してある
が、中心の取扱室が複数の処理モジュール104及び1つ
以上の真空装填ロック室12と組合された第5A及び5B図の
ような実施例でも使える。
また、粒子センサ202(第9図)が、真空装填ロック
室12の内部に接続されるものとして明示してある。この
粒子センサ202は、粒子センサ202からの信号が真空装填
ロック室12内に存在する粒子レベルの指示を与える限
り、物理的に真空ウェハキャリヤ10の合体位置に近接し
ていなくともよい。粒子センサ202は通常ポンプ排気路
(図示せず)内で真空装填ロック12より下流に位置す
る。またこの粒子センサは、一定の継続時間にわたって
カウントされた粒子数を示す出力信号を与えるカウンタ
に、市販のレーザ粒子カウンタ(これが個々の粒子を検
出する)を組合せて成る。紫外線プラズマ空間220には
リング576を介して、例えばH2、ArまたはHe等紫外線の
発生に有効なガスが供給される。紫外線を発生するのに
使われる電源の周波数は、例えば100KHzまたは13.56MHz
とし得る。モジュール570は、処理室218を有し、こゝに
分配器212(第12図)または供給管250を介してガスが導
入される。例えば、オゾンが分配器212を介して供給さ
れる。透明な真空壁238が、加熱モジュール572からの放
射熱を下方のウェハ48へと通過可能にしている。
紫外線及び遠隔プラズマの能力を有する第9図及びそ
の他の処理モジュールによって、以下の処理が行える。
モジュール570で使える一処理は、側方モジュール570
(処理室218内へ直接光学的に結合されている)で発生
される補助の紫外線と遠隔プラズマ室254から遠隔発生
されるプラズマの何れかまたは両方を用いるポリシリコ
ンを被着するためである。シランガスが処理室内に導入
される。遠隔プラズマを使わないときは、シランガスを
分配器212を介して処理室218内へ導入することもでき
る。処理室は被着温度に維持されるべきである。ウェハ
を室218に配置した後、所望なら、ウェハ及びその露出
層と非反応性の適切なガス、例えばN2を用いて浄化を行
える。この処理の一例は次の通りである。すなわち、ま
ずウェハが室内に置かれる。次いで、室内が排気されN2
で浄化される(一般に室内の使用可能な気圧は0.1〜750
Torrである)。遠隔プラズマが、シランガスから室254
内で発生される。遠隔プラズマは室218内に導入され、
ウェハ48の下向き面54に導かれる。室が、例えば550〜5
70℃の被着温度に加熱される。補助の紫外線エネルギー
が、リング576を介して導入された気体例えばH2、Arま
たはHe等を、周波数100KHzの電力300ワットを用い励起
することによって、空間220から室218内に結合される。
すると次の反応が生じる。
SiH4>SiH2+Si2H6>ポリシリコン+H2 こゝで光は、分子の励起レベルを高めることによって
被着を強める。次いでガスと熱が打ち切られ、所望なら
室が適切なガスで再び浄化される。その後ウェハが取り
出される。所望に応じ、HClとHBrの混合物から形成され
た遠隔プラズマを用いて、クリーニング工程を実施でき
る。
別の有用な処理は、窒化シリコンの被着である。遠隔
プラズマを発生するのに窒素源が使われる。前述のよう
に、局部発生された紫外線エネルギーが処理室内に結合
される。シリコン源、例えばジクロロシラン(DCS)の
混合ガスが、処理室内及びウェハ面54に導かれる。遠隔
プラズマと紫外線エネルギーの組合せが、被着速度を許
容レベルにまで上げるのを可能とする。処理の実例を次
に示す。
1. ウェハを下向きにして処理室内に配置し、処理室を
閉じる。
2. 処理室内を排気し、所望なら適切なガス、例えばN2
で浄化する。
3. DCSの混合ガスから遠隔プラズマを発生し、窒素源
例えばN2またはNH3が処理室内に導入される。
4. 処理室を被着温度、例えば550〜800℃に加熱する。
5. 紫外線エネルギーを発生して処理室内に導き、これ
が処理ガスによって吸収され、DCSの分子励起レベルを
高める。
6. ガス流を止めて加熱し、適切なガス、例えばN2で室
内を浄化する。
7. 処理室を開け、ウェハを処理室から取り出す。
8. 混合ガス、例えばCF4とO2を用いて処理室をクリー
ニングする。
こゝで論じるクリーニング動作中、処理室は閉じてお
くことができる。
また処理モジュール570は、有機物の除去、金属汚染
物の除去、天然酸化物の除去、酸化、及び形成された酸
化膜上へのシールドの被着を逐次実施可能である。かか
る処理の一例を次に示す。
1. ウェハを低圧の処理室内に配置する。
2. 補助の紫外線を用い、オゾンを室内に導入して、ウ
ェハから有機化合物を除去する。
3. ハロゲン化物と酸素を用いて金属汚染物を除去す
る。
4. フッ素の化学作用、例えば無水HF法を用いて、前の
工程で生じた天然酸化物を除去する。
5. 室を排気した後、ウェハ及びその露出層と非反応性
の適切なガス、例えばN2またはArを用いて、室内を高
圧、例えば700Torrに浄化する。
6. 酸化源例えばO2を導入し、例えば加熱モジュール57
2のランプ574を付勢し壁238を介して放射熱を与えウェ
ハを加熱することによって、ウェハまたは少なくともそ
の一部上に酸化膜を形成する。
7. 例えば、酸化源を停止し、N2またはArで浄化するこ
とによってアニール作業を行い、アニール作業後所望な
ら、熱を停止してウェハを冷却可能とする。
8. 所望なら、浄化作業を行って水分を取り除く。
9. 室内を排気した後、適切なガス例えばN2またはAr
で、低圧例えば750〜0.1Torrに浄化する。
10. 被着用のガス、例えばシランを室内に導しポリシ
リコンを被着する。あるいは窒化シリコンも使える。
11. ウェハを、例えば550〜700℃に加熱する。
12. 補助の紫外光を発生し、励起レベルを高める。
13. 熱及び被着ガスを除去し、適切なガス例えばN2
たはArで室を浄化する。こゝで窒化シリコン等別の被着
を行える。
14. ウェハを処理室から取り出した後、次のウェハを
入れる前に遠隔プラズマを用いて室内を清掃する。
特定の処理によって必要なら、上記の任意の工程及び
/又はそれらの一部を省略できる。
第9図の処理モジュール570で行える別の処理は、窒
化シリコンの被着である。つまり、まずウェハが処理室
内に置かれる。排気後、必要なら適切なガス、例えばN2
で室内が浄化される。圧力は0.1〜750Torrの間で可変で
ある。酸素源、例えばN2OまたはO2が室内で励起され、
遠隔プラズマを生成する。シリコン源、例えばシランま
たはジシランが、室254あるいは分配器212から室218内
に導入される。オゾンが分配器212を介して室218内に導
入される。ウェハが、例えば200〜500℃に加熱される。
紫外線が前記のごとく空間220で発生され、前記の励起
を与える。被着の実施例、ガス及び熱が停止され、所望
なら室を再び浄化できる。ウェハを取り出した後、例え
ばCF4及びO2から生成された遠隔プラズマを用いて室を
清掃可能である。圧力は例えば0.1〜750Torr、SiH4対O2
の比は例えば1〜5とし得る。
こゝに開示する1クラスの実施例はデグレーズ(degl
aze)処理を与え、この処理ではフッ素源のガス種ある
いは無水HFと大比率の酸素とを含む発生源ガス流の活性
化生成物が、ウェハ表面から離れたプラズマ放電より下
方のウェハ表面を横切って流される。この実施例は、シ
リコンを選択的に侵食しない乾式デグレーズ処理が得ら
れるという利点を持つ。この実施例は更に、デグレーズ
処理を次の処理工程と逐次容易に組合せられるという利
点も有する。例えば、その場で(in situ)のデグレー
ズは天然酸化物を除去するのに使え、続く被着工程のた
めの清浄な界面を保証する。第9図に示した処理モジュ
ール570は、紫外線を付勢しない場合にも使用でき、別
の実施例では、空間220、リング576、及び空間220での
紫外線生成に関連するその他の構成部品を用いずに構成
することもできる。
デグレーズ処理は、次のように好首尾な結果で実証さ
れている。He3000sccmとO22000sccmとCF4250sccmの各処
理ガス流量を400Wの放電中に適したところ、酸化物(シ
リコン)上のポリシリコンと比べ熱酸化物を用いて測定
すると、酸化物対ポリシリコンが3:1の選択性を与える
ことが判明した。酸化物のエッチング速度は室温でわず
か7Å/分であったが、この速度はもっと高い温度を使
うことによって容易に高められる。
つまり、この点に関する本願の教示は、遠隔プラズマ
を通過したガス流を使えば、デグレーズを行うのに非常
に高い酸素比率を有利に使えるという点にある。この高
い酸素比率の導入は、ポリシリコンのエッチング速度を
遅くすることによって、選択性を高める作用をしてい
る。また、これらのガス流は、遠隔プラズマが存在しな
いと、補助のプラズマ衝撃がそれほど高い選択性を可能
としないので、うまく作用しない。
上記の実施例は、本願の教示に従って種々変更可能で
ある。例えば、もっと高い(酸化シリコンの)選択性を
得るためには、もっと高い比率のO2を使えばよい。やゝ
高い速度は、もっとも高い流量のCF4を使えば得られ
る。より高い温度も速度も速める。2.5Torrの総圧力は
広く変更できる。
注目に値する別の実施例は、第23図に示したような反
応器で、(例えば)He3000sccmとO23000sccmとCF4150sc
cmの各処理ガス流量(例えば)2.5Torrの総圧力、ガス
流に印加されて活性化種を発生する(例えば)400ワッ
トのRF電力、(例えば)250℃の基板温度を用いる例で
ある。
第10図は、第9図と同様な1つの処理モジュール204
を用いた実例システムの物理的構成の全体図を示す。ウ
ェハ搬送機構と真空装填ロック室12を処理モジュール20
4から分離する隔離ゲート31(第4図)とを含め、装填
ロック蓋及び処理モジュール204の動作は、全て、例え
ば8088ベースのPC(テキサス・インスツルメント社のプ
ロフェッショナルコンピュータ等)とし得るコンピュー
タ制御システムによって制御される。コンピュータ制御
システム206が、処理ステーションで実施される全ての
処理のための制御ロジックを与える。処理メニューは、
キーボードで設定し、メモリ内に記憶され、そしてコン
ピュータ制御システム206によって自動的に実行可能で
ある。例えば、コンピュータ制御システムがある粒子数
以下で真空ウェハキャリヤ10の開放を許容するならば、
その粒子数はプログラムしておくことができる。
第11図は、かかるコンピュータ制御システムの動作の
フローチャートを示す。ロジックはステップ800でスタ
ートし、入口ステップ802と803に進む。真空ウェハキャ
リヤ10の装填後、装填ロック蓋20が閉じられたかどうか
がステップ800で検出され、粗引きポンプポンプ隔離弁7
02がステップ804で開けられる。また窒素隔離弁703がス
テップ802で開けられ、窒素を室12(第1図)内に導
き、前述のごとくマニホルド22(第1図)を介した室12
の気体浄化を与える。
次にロジックは、同時に行われなくともよいステップ
802と804から、状態806及びステップ808に進む。ステッ
プ808では、コンピュータ制御システム206が圧力をモニ
ターし、ゲートつまり隔離弁39を絞って、適切な制御を
与える。装填ロジックは適度な真空にポンプ排気され
る。これでも尚真空ウェハキャリヤ内の圧力より高いの
で、真空ウェハキャリヤのドア14はまだ開かない。状態
806では、粒子のレベルが許容可能な低さになったこと
を粒子センサ202を含む装填ロック粒子カウンタ850が指
示するまで、圧力が適度なレベルに保たれる。カウンタ
によるカウントが適切なカウントでないと、ロジックは
状態806にループバックする。適切なカウント、例えば
零が検出されると、ロジックはループを出て状態810に
入る。状態810で、所定の期間、例えば60秒間粒子が検
出されないと、真空ウェハキャリヤ10の開き(または閉
じ)が安全に可能となる。つまり、真空ウェハキャリヤ
10が装填ロック内に装填されたとき、何らかの理由で異
常に高い粒子濃度が導入されると、粒子汚染の危険が上
記の閉ループ制御系下で過ぎ去るまで、システムがウェ
ハ48を汚染にさらさせない。
所定期間粒子が存在しないことを粒子センサ202と208
(第9図)が検出した後、ロジックは状態810の出てス
テップ812と814に進む。ステップ812で、隔離弁702が完
全に開かれる。ステップ814では、窒素供給源に続く隔
離弁703が開かれる。次いで、ロジックはステップ816に
進む。ロジックがステップ816にある間に、隔離弁707が
開けられ、室12内の圧力が更に減じられる。その後、ロ
ジックはステップ816を出て状態818に入る。室12内の圧
力が状態818でモニターされ、その圧力が所望レベルに
達していないと、ロジックはステップ820に入る。ステ
ップ820では隔離弁707の絞りが調整され、ロジックは状
態818に再び入る。圧力が所望のレベルに達したとき
は、ロジックはステップ818を出てステップ822に入る。
ステップ822で、ドア14が開けられる。
一定の期間御粒子のレベルが異常に高いレベルに留ま
っている場合には、制御ロジックに別のブランチを付加
し、別の浄化サイクルへ進むようにしてもよい。つま
り、この閉ループの粒子制御系は、周囲の粒子レベルが
高い間粒子導入の危険を最小限化することを保証する。
またこの閉ループ粒子制御系は、手操作システムの動作
順序の誤りによって生じ得る不測の汚染防止に対しても
有利に働く。
更にコンピュータ制御システム206は、ポンプ系を作
業圧力へポンプ排気し、そしてドア14(第1図)が開か
れるときに存在する粒子のレベルを制御することを可能
とする。真空ウェハキャリヤ10のドア14は、前述のごと
くシャフト24を回転することによって開かれる。上記の
ようなその位置で(in situ)の粒子カウンタ、例えば
第31図のカウンタ850は、高圧真空ギャップコンデンサ
での電荷移送を測定する共振回路を用いるか、あるいは
(充分に多い粒子の場合には)多重折り曲げ光路を備え
たレーザ駆動式光学的空洞を用いるか、またはその他の
手段によって組み立てられる。
その後、ウェハ48を含む移送アーム28の処理モジュー
ル内への通過を可能とするため、隔離ゲート31(第3
図)を開けることができる。真空負荷ロック室12内の粒
子レベルが許容可能な低レベルで測定されるまで、真空
ウェハキャリヤドア14も処理モジュール内へ至る隔離ゲ
ート31もどちらも開けられないので、このダブル禁止ロ
ジックは有効である。両方を一緒に使えば相互作用に基
づく利点が得られるが、これらは別々の技術で、独立に
使用可能である。次いでウェハ移送アーム28によって、
ウェハ48を真空ウェハキャリヤ10から取り出せる。コン
ピュータ制御システム206(第10図)は移送アーム制御
し、プログラム可能な任意の順序で各ウェハ48の取出ま
たは交換を行う。ウェハ48は、最終的に能動回路部品を
含む側を下向きにして移送される。
任意選択として、初期のポンプ排気前に窒素シャワー
を制御するのに、上記以外の粒子カウンタ(または高圧
下で粒子を検知するのにより適した粒子センサ)も使え
る。つまり、単純に固定の継続時間だけ窒素シャワーを
施す代りに、箱が異常に汚れた環境下にあることを粒子
モニターが示すまで引き延ばしてもよい。装填ロックを
(粗引きポンプで)軟真空にポンプ排気した後、窒素シ
ャワーポートを介してガスを吹き込み、下向きの流れを
形成するのが望ましいことさえある。また、装填ロック
が一定の軟真空圧に達した時点で尚粒子レベルが過剰で
あることを粒子モニターが指示する場合、別の窒素シャ
ワーサイクルを開始することによって、装填ロックを軟
真空(例えば100ミリTorr程度)から再び大気圧へ循環
するのが望ましいこともある。
第9図に示すような粒子センサ208が処理モジュール
の内部に接続され、これが別の禁止ロジックを制御する
のに使われる。真空処理系内で生じる粒子の大部分は、
実施される実際の処理によって発生される。それらの発
生源からの粒子汚染を減じるための変形として、例えば
処理モジュール570(第9図)等の処理モジュールへと
至る隔離ゲート(第3図)は、ウェハ48の処理後、モジ
ュール内における許容可能な低い粒子レベルを粒子セン
サ208が示すまで、開かれない。さらに、両方を一緒に
使えば相互作用に基づく利点が得られるが、これはちょ
うど記載したものと個別に適用可能な別の特徴である。
第12図は、第9図に示した処理モジュール570等、処
理の化学作用を紫外線で強める能力を与える処理モジュ
ールに関する一変形の詳細図を示す。この実施例はもっ
と一般的な反応器でも実現できるが、こゝに記す特徴が
それとの関連で特定の利点を与えるので、その種の処理
モジュールについて説明する。
第12図は、紫外線強化型の真空処理モジュール590に
関する一実施例を示す。処理ガス分配器212が、処理配
管216に接続され、そして頂部室218内でリング底の開口
を介して処理ガスの下向き流を発生する。つまり、分配
器212は、処理ガスの下向き流を、分配器212の上方で下
向きに配置され且つ3本の指示指状部214(そのうちの
1つだけを示す)によって支持されたウェハ面54の近く
の頂部室218に与える。支持指状部214は第3図のピン53
と同様である。これら3本の支持指状部214は通常、水
晶またはその他高純度の誘電物質で作製される。
処理ガス分配器212は、処理すべきウェハ48の直径の
ほゞ半分のリングであって、処理配管216に至る中空の
支持体を備えている。処理ガス分配器212はウェハ48か
ら数cm、約4cm離れて位置する。処理ガス分配器212の正
確な寸法は重要でない。これらのパラメータは、所望な
ら変更し得るが、変更する場合には、処理ガス及び処理
ガス生成物のほゞ一様な濃度がウェハ面54全体にわたっ
て生じるように選定されるべきである。例えば、処理ガ
ス分配器212のウェハ48からの間隔は1〜15cmの範囲で
任意とし得る。処理ガス分配器212を介して与えられる
処理ガスは、遠隔プラズマによって発生された活性化種
を備えた混合物を含め、異なる数種類として得る。
これら処理ガスとウェハ面54上の薄膜物質との反応
は、頂部室218の下方に位置した紫外線プラズマ空間220
から発せられる紫外線によって強められる。処理ガスの
第2の流れが、配管230によって与えられるオリフィス2
22から紫外線プラズマ空間、つまり下方室220内に共有
され、そこで、前方電極220に印加されるRF電力によっ
てプラズマが発生される。供給ガスは、例えばH2、Arま
たはHeとして得る。前方電極224は紫外線を通すように
穿孔されているが、その代りに紫外線に対して透明な組
成及び厚さで作製してもよい。このプラズマ用のアース
電極は、構造的な金属要素と処理モジュールの金属壁22
8とによって与えられる。紫外光発生のために電極に印
加される電力の周波数は、例えば100KHzまたは13.56MHz
とし得る。この実施例では断面がほゞH状であって、且
つ、ほゞ円筒状の外表面を有する水晶製バッフル232
が、紫外線プラズマ空間220内のガス流を頂部室218内の
ガス流から分離する。つまり、2つの室218と220は別々
のガス流を有し、頂部室218はバッフル232の頂部とウェ
ハ48との間の開口234を介して排気され、紫外線プラズ
マ空間220はバッフル232の底部と水晶プレート592との
間の開口236を介して排気される。圧力の差が排気空間
で逆流を生じない限り、室218と空間220は任意に異なる
圧力で動作させてもよい。
ウェハが3本の支持指状部214上に配置され、処理モ
ジュールが閉じられた後、電力を前方電極224に印加し
てプラズマを発生可能にし、そして紫外線プラズマの発
生に適した気体が、配管230を通じて紫外線プラズマ空
間220内へ導入可能となる。適切なガスにはN2、H2、O2
及びその他多くの種が含まれる。特定の用途で所望な紫
外線スペクトルと合致する特定のガスを選ぶことができ
る。紫外線源プラズマは、適切なガスまたは適切なガス
の混合物と適切な圧力を用い、特定の室構成及び構造用
の最小電力、例えば50ワットよりも大きい電力を加える
ことによって発生可能である。
第12図に示した実施例では、ウェハ48の背面は、透明
な真空壁238に近接しており、そしてその真空壁からわ
ずかに離れて支持されている。これらの特徴は特に、後
で詳述する迅速熱処理(RTP)能力を持つ実施例と関連
している。
第12図に示した実施例において、水晶製バッフル232
は、図面上水平に延び且つ紫外線に対して実質上透明な
部材239を含む。部材239は、バッフル232のH状断面の
クロスバーを形成する。この紫外線透明窓は、水晶、サ
ファイヤまたはその他同様の物質で作製できる。
任意選択として、両ガス流の完全な分離が必要でなけ
れば、特に非常に短い波長の作業が所望なら、部材239
は中実でなく穿孔を形成してもよいし、あるいは完全に
省くこともできる。これを第13図に示す。処理モジュー
ル600は、第12図の処理モジュール590と同様である。ガ
ス分配器602は第12図のガス分配器212と同様である。水
晶製バッフル604は円筒状である(第13図に2つの矩形
として示してある)。頂部室605への処理ガスはガス分
配器602を介して、紫外線プラズマ空間607は配管609を
介してそれぞれ供給される。前方電極612は第12図の前
方電極224と同様である。しかしこの例では、水晶製バ
ッフル232(第12図)のクロスバーが水晶製バッフル604
には存在しないので、空間605内の処理ガスが室605内の
処理ガスと混合可能である。
第14図は、処理モジュール590(第12図)及び600(第
13図)とほゞ同様な処理モジュール620を示す。第14図
では、紫外線プラズマ空間220内のプラズマが、ほゞ同
心円状の円筒として形成された2つの電極244と246によ
って駆動される。更に、紫外線プラズマ空間220内のガ
ス分配器248が、第12図の配管230と異なる。第14図の水
晶製バッフル232はH状である。また、処理モジュール6
20は第3のガス供給管250を含み、これか後述するよう
に、遠隔プラズマによって発生された種を与るのに使わ
れる。ガス供給管250は、頂部室212内に位置するリング
状のガス分配器212と、紫外線プラズマ空間220内へ気体
を与える供給管256とに加えて設けてある。更に、プラ
ズマがウェハ面54に近接して発生可能なように、RF電力
の供給されるサセプタ252が透明な真空壁238の代りに設
けてある。電極244が供給管250とスリップ嵌合いを形成
する。このスリップ嵌合いは密閉されず、下向きにだけ
通気される。
この用途では、プラズマがウェハに“近接している”
と称されるとき、これは、プラズマがウェハに充分接近
しており、プラズマエッジでの暗空間を横切るDCバイア
スがウェハ面で顕著なプラズマ衝撃を誘起することを意
味する。衝撃の程度は、圧力、電力レベル、更にある程
度ガス流の成分によって制御されるDCバイアスの量に多
少依存する。
つまり第14図は、ウェハ面54から離れたプラズマによ
って発生される活性化種用に設けられた別個の供給路を
示している。この種類の実施例では、処理モジュール
は、集積回路ウェハ48が第1のプラズマによって発生さ
れる活性化種に露出可能であるように構成されており、
この第1のプラズマは、ウェハから離れているが、ウェ
ハ48より上方の処理ガス流中に存在するものである。ま
た、この処理モジュールは、集積回路ウェハ48が、第2
のプラズマによって発生されるプラズマ衝撃にも露出可
能なようにも構成されており、この第2のプラズマは、
ウェハの表面に実質上隣接する暗空間を有するものであ
る。近接プラズマ(in situ plasma)は比較的低電力な
ので、遠隔プラズマは活性化種を発生でき、従ってプラ
ズマ衝撃のエネルギーを最適化するように近接プラズマ
(in situ plasma)の電力レベルと周波数が調整可能で
ある。
特に、かかる実施例では、ガス供給管内の遠隔プラズ
マと低電力の近接プラズマ(in situ plasma)との組合
わせから特別の利点が得られる。遠隔プラズマの使用
は、高密度の活性化種がウェハ表面で得られることを意
味する。また低電力の近接プラズマ(in situ plasma)
の使用は、プラズマ衝撃のエネルギー及びフラックスを
所望程度の異方性を誘起するのに必要なだけに制限しな
がら、異方性エッチングを生じるのに充分なプラズマ衝
撃が得られることを意味する。これは、過剰なプラズマ
衝撃で起こり得る損傷を容易に回避させる。またこの点
は、反応の化学的作用の微調整も可能とする。これはプ
ラズマ衝撃によって異方性を与えるのに充分なだけ表面
の化学的性質をシフトさせる点で望ましいが、プラズマ
エッチング処理には他の2つの制約、つまり外部被着の
選択性及び制御が存在し、これらの条件全てを最適化す
る化学的性質の選択は非常に制約されることがある。後
述する特定の例の一部が実証しているように、衝撃条件
を独立に最適化する能力は最適な化学的性質の形成にお
いて利点をもたらす。また、低衝撃の条件下で高密度の
活性化種を与える能力は、低衝撃の条件下において高い
処理量で処理が可能なことを意味し、これは本処理モジ
ュール以前には容易に達成できなかった。近接プラズマ
(in situ plasma)として低電力のプラズマを用いる別
の利点は、(抗選択性を劣化させる)ウェハの加熱が最
小限化可能なことである。
一般的に用途において、遠隔プラズマは300W以上で、
近接プラズマ(in situ plasma)は100W以下で動作され
る。しかし、例えば銅膜をドープするアルミニウムの場
合等、もっと高い電力で動作する方が有利なこともあ
る。従って、遠隔プラズマは、近接プラズマ(in situ
plasma)に印加されるより4倍以上の総電力レベルで動
作可能なことが理解されるべきである。別の例では、近
接プラズマ(in situ plasma)が25Wと低い電力レベル
で動作されることもある。プラズマ衝撃のエネルギーが
低いことの利点は、低電力を得ることと必ずしも関係な
い。つまり、近接プラズマ(in situ plasma)は250V以
下のDCバイアスで動作可能で、例えば一般的なレベルは
25〜1000ボルトの範囲内を取り得る。
第9及び32図は、上記の能力を備えたプロセスモジュ
ールの全体図を示す。第9図では、遠隔プラズマ室254
が水晶製の出口管256によって処理モジュールに接続さ
れている。
第15図は遠隔プラズマ室を示す。例えば2.45GHzで動
作するマグネトロン264が、例えば陽極酸化アルミニウ
ムから成り、約3.8×7.6×22.9cm(1.5×3×9イン
チ)の寸法を有する共振空洞260に連結されている。ガ
ス入口管266が所望流量の処理ガスを与える1つ以上の
質量流量制御器に接続され、共振空洞260を通って水晶
製の出口管256へと至るガス通路270に続いている。こゝ
で処理ガスは、空洞からのRF漏れに対して保護するシー
ルド空間を通過する。用いる水晶は1/4波長、例えばこ
の例では約2.5cm(1インチ)より小さい外径を有する
ので、1波長の(またはそれより大きい)シールド268
で妥当な隔離を与えられる。シールド268は、水晶製出
口管256の周囲に延び、通常反応器モジュールに入る地
点まで出口管256の全長にわたっている。同調スタブ272
が、空洞の共振に対する同調を可能とする。オゾンの発
生を防ぐため、窒素浄化が共振空洞260の内部に与えら
れるのが好ましい。冷却ライン(図示せず)も使用でき
る。出口管は、例えばガス供給管250(第9図)に接続
される。
この実施例では、ガス通路270を通るガス流が共振マ
イクロ波系空洞とマグネトロンとの総負荷の大きな比率
を与える。従って、ガス流及び圧力が確立されるまで、
電源をオンにしない方がよい。例えば400Wの電力が印加
される処理では、マグネトロン264への電力供給前に、
少なくとも500mTorrの圧力及び少なくとも500sccmの流
量とすべきである。これらは控え目な数値であるが、空
洞またはマグネトロン内でのアーク発生を防ぐ役割を果
たす。勿論、もっと高い電力では、もっと高い最低条件
が使われる。例えば、5000sccmの総ガス流が使われる処
理例では、1000W程度の電力が使用可能である。
尚、遠隔プラズマの電力効率は、ガス通路270対共振
空洞260内部の容積比によって左右される。従って、ガ
ス流通路270は図示のほゞ円筒状とする代りに、空洞の
容積をもっと埋める形状に変更してもよい。
勿論、マグネトロン264を共振空洞260へ直接隣接する
代りに、マイクロ波工学の標準原則に従い、導波管やそ
の他のRF伝送構造を用いて両者を接続してもよい。つま
り、活性化種がウェハ面へ達する前に緩和、再結合また
は減衰する移行時間を最小限とするため、共振空洞260
を処理モジュール内に配置するのも有利である。
別の実施例では、送信器と受信器を結合しないで送信
器と受信器両方を同じアンテナへ結合するのに、3ポー
トサーキュレータとして知られる通常のマイクロ波部品
も使える。また、マグネトロン264を共振空洞260から部
分的に分断するのにそれを用い、大きい反射電力が空洞
から戻される条件下では、別の抵抗負荷が負荷機能の一
部を担うようにもし得る。
これは、処理条件を変えるこによって生じる負荷の変
化に対するRF系の感度が大巾に減じるという利点を持
つ。またこれは、所望なら1つのRF電源を2以上の遠隔
プラズマ発生空洞へ接続できるという利点も有する。
第9図に示した実施例において、水晶製の出口管256
は、非接触型のスリップ嵌合せ継手258によって第4図
に示したのと同様な第3のガス供給管250に接続されて
いる。このゆるいスリップ嵌合せは、処理中給送ガスの
一部が直接排気空間へと洩れるのを許すが、これは些細
な問題である。こゝでスリップ嵌合せを用いる利点は、
遠隔プラズマ室254からの全通路のガス流が水晶製配管
を通して実質上導かれるようにしつゝ、処理室の垂直方
向の動きを許容する点にある。前述したように、垂直方
向の動きはウェハの挿入及び取出しのために処理室を開
閉する役割を果たす。この点は、遠隔プラズマによって
発生される活性化種の多くが極めて高い活性化状態とな
るので、実用上有用な特徴であることが判明している。
これらの活性化種には、O−等の基、酸素−ハロゲン化
合物等の擬似安定分子種、高い電子エネルギーを持つ擬
似安定状態の分子、更に特にプラズマに近い領域では高
い比率のイオン化種が含まれる。かかる流れを選ぶのに
使われる管は、破壊的化学作用に抗するためにできる限
り不活性であると共に、活性化種の流れによって管壁か
ら除去される種に基づくウェハの汚染を最小とするため
めにできる限り純粋でなければならない。水晶は、ほと
んどの発生源に対してこれら両基準を満たす。用いるガ
ス流がフッ素源を含んでいる場合、配管はサファイア、
焼結アルミナ、または銅で作製できる。更に、使用する
処理の化学作用に応じて、水晶製出口管256の侵食及び
ガス流内における化学作用の変化が実行中の特定処理に
おいて許容可能であれば、水晶を使う方が簡単であろ
う。
第16図は、別の処理モジュール630の詳細を示してお
り、この処理モジュール630は、多くの点で第14図に示
したものと同様である。ウェハ48は、処理の化学作用を
変更すること(例えばウェハ面54近くにフッ素の少ない
プラズマを生成すること)が有用な場合には、アルミニ
ウム又は任意選択としてシリコンからなる導電性サセプ
タ300に対して保持されている。サセプタ300はウェハ48
の上方に位置し、頂部室218はウェハ48の下方に位置す
る。サセプタ300は通路302によって冷却される。所望な
ら、サセプタ300は通路302を介して、あるいはサセプタ
300を貫くヒータロッド(図示せず)を用いることによ
って加熱できる。ウェハ48は第16図中3本の支持指状部
214によってサセプタ300に対して保持され、その面54は
サセプタ300から下方を向いている。
こゝに開示するような紫外線発生及び遠隔プラズマの
両能力を備えた処理モジュール、例えば630内で実施可
能な処理は、導電性膜の被着である。導電性膜は、遠隔
マイクロ波で活性化された種で金属有機化合物を還元ま
たは分解することによって生成できる。例えばZn、Al、
In、Pbはそれぞれ、ジメチル亜鉛、トリメチルアルミニ
ウム、トリメチルインジウム、テトラメチル鉛等の金属
有機化合物を水素またはアルゴン等の基と反応させるこ
とによって生成できる。1つの実例では、シリコンまた
はHgCdTe基板(ウェハ)が処理室内に移される。室が10
-6Torr以下の圧力に排気される。次いで、室は適切なガ
ス例えば水素によって浄化され、このガスは所望なら、
例えば100sccmでマイクロ波空洞を通って処理室内に入
る。そして室は0.3Torrの圧力とされる。基板が50℃に
加熱される。ジメチル亜鉛が、例えば6.6sccmでガス分
配器212を介して室内に導入される。次に、活性水素基
が、例えば6ワットで遠隔マイクロ波空洞内において発
生され、供給管250を介し室内へ導かれてジメチル亜鉛
と混合し、基板上に被着する金属亜鉛と処理室からポン
プ排出されるメタンとを生成する。Zn膜は60オングスト
ローム/分で形成され、25×10-6Ωcmの電気抵抗率を有
する。
その場での紫外線エネルギー発生能力を備えた処理モ
ジュール630及びその他の処理モジュールで行える1つ
の処理は、HgCdTe上における天然酸化物の成長である。
ウェハを処理室218内に置いて室を閉じた後、室が所望
の低圧、例えば0.05Torrに排気される。所望なら、適切
なガス、例えばO2または不活性ガスを用いて、室の浄化
を行うこともできる。酸素源、例えばO2またはN2Oから
生された遠隔プラズマが室218内に導入され、所望なら
清掃を行う。遠隔プラズマを停止する。室が排気され、
所望ならO2または不活性ガスで浄化される。紫外線が空
間220内で発生され、室218内に導かれる。紫外線が室21
8内でガスの必要な励起を与える。紫外線は適切な期
間、例えば1時間維持される。次いで室が排気され、適
切なガス、例えばN2で消化される。その後、室を開いて
ウェハ48を取り出す。
こゝに開示する紫外線及び遠隔プラズマの能力を備え
た処理モジュール、例えば処理モジュール630で更に別
の処理を行うこともできる。つまり、ウェハを処理室内
に移した後、室を閉じる。適切なガス、例えばN2で浄化
を行うこともできる。遠隔プラズマがN2Oから発生さ
れ、供給管250を介して室218内に導入される。シランガ
ス、例えばSiH4がガス分配器212を介して室内に導入さ
れる。紫外線が空間220内で生成され、室218内に導かれ
る。これは、室218内のN2Oガスによって一部吸収され
る。被着の完了後、所望ならSF6から発生された遠隔プ
ラズマを用いて清掃作業を行える。
処理ガス分配器212が、ウェハ面54に近い頂部室218に
処理ガスを与える。別の処理ガス分配器306が紫外線プ
ラズマ空間220にガスを与え、そこで前方電極224にRF電
力を印加することによって、ウェハ面54から離れた第2
プラズマが任意に発生される。分配器306を通過して流
れる種及び前方電極224に印加される。電力レベルは、
所望の波長及び強度の紫外線でウェハ面を照射するよう
に選ばれる。水晶製バッフル232が頂部室218及び紫外線
プラズマ空間220からガス流を流出させるので、紫外線
プラズマ空間220を通るガス流は、第12図に示したのと
同様なガス流であって、頂部室218を汚染しない。第3
のガス供給管250は、遠隔プラズマ室で活性化されたガ
ス流をウェハ48近くの頂部室218に与える。近接プラズ
マ(in situ plasma)用の電圧はサセプタ300に印加さ
れる。
逆に、硫化亜鉛等の化合物が、反応器内で気相から被
着される処理を説明する。この反応器は、真空ウェハ移
送を含む真空処理システムと適合可能な一様性を向上し
及び/又は気相での核形成を避けるため、各々が1つ以
上の反応ガス供給源に接続された2つのガス分配器が使
われる。この処理例は、ZnSのようなII−VI膜を良好な
一様性及び良好な膜品質で迅速に被着できるという利点
を有する。
CdS、ZnS、PbS、CdSe、ZnSe等の硫化物、セレン化
物、テルル化物膜、及びその他のII−IV化合物の被着
は、金属有機化合物と硫化物またはセレン化物のガスを
用いることによって生成できる。有機金属化合物(金属
有機物)は、例えばジメチルテルル、ジメチル亜鉛、ト
リメチルアルミ、テトラエチル鉛の群から選ぶことがで
きる。硫化物は、例えば硫化水素と、セレン化ガスは、
例えばセレン化水素とそれぞれし得る。必要な励起は、
処理室に導入された遠隔プラズマ室254内で活性化され
た不活性ガスと、処理室に接続された空間220内で発生
される紫外線との何れかまたは両方によって与えられ
る。サセプタ300は、そこに貫くヒータロッド(図示せ
ず)を用いることによって加熱できる。また、ZnS等の
被着膜にPbSをドープすることも可能である。例えば、
テトラエチル鉛とジメチル亜鉛の混合物が第1の分配器
310(第17図)を介して導入され、また硫化水素が第2
の分配器312(第17図)を介して導入され、ZnSとPbSの
混合物を生成する。
1つの実例では、HgCdTe基板が形成済の極薄の不活性
化誘電層(この例では厚さが100オングストローム以下
の硫化物薄膜)と共に用いられた。基板温度50℃、総圧
150〜200ミリTorrとし、一方のガス分配器を介し30sccm
でH2S、他方のガス分配器を介し2〜3sccmでジメチル亜
鉛((CH32Zn)のそれぞれ流した。これらの条件によ
り、約350オングストローム/分の速度で良好な電気的
性質をもつ膜が成長した。
任意選択として、ZnS被着の実施前に、同じ反応器内
で不活性化層を形成することもできる。これは特に、Hg
CdTe上へMISゲートを作製するのに有利である。1つの
実例では、HgCdTe基板の天然酸化物を希釈HClで除去
し、DI(脱イオン化)水で洗浄し、窒素で乾燥した、そ
して真空下の処理室内に移した。室を、例えば30sccmの
硫化水素で浄化し、0.2torrの真空としてから、基板を1
00℃の温度に加熱した。硫化水素とHgCdTe表面を補助の
紫外線源で照射して、HgCdTe上の残留酸化物を化学的に
減少し、且つ、薄い不活性化硫化物膜を形成する水素と
イオウとを含む励起状態の種(分子及び基)を生成し
た。その後、ジメチル亜鉛を、例えば2〜3sccmで導入
することによって、ZnSが不活性化後のHgCdTe上に被着
された。
ZnSの成長速度は温度に非常に敏感なことが判明し、
基板温度は高い方が望ましい。HgCdTe物質の安定性の点
では最大成長温度が約120℃以下に設定されるが、高品
質膜の迅速な成長を達成するためには、成長温度を90〜
120℃の範囲に高めるのが望ましいと考えられる。これ
らのガスの気相反応は50℃では大きな問題でないが、10
0〜120℃の温度ではもっとはるかに顕著となる。反応器
として使われるように構成された処理モジュール640の
別の利点は、気相での反応による問題を生じることな
く、上記高温の使用を容易にすることである。
より滑らかな膜を得るため、希釈ガスを反応ガス流と
混合させ、及び/又は反応ガスをもっと高い流量で流す
こともできる。適切な希釈ガスには、水素、ヘリウム、
及びアルゴンが含まれる。
その場合(in situ)紫外線からの照射下における硫
化亜鉛膜の成長もテストされ、その結果紫外線照射によ
つ大巾に速い膜成長が得られることが判明した。補助の
紫外線照射は、他の被着においても有効であろう。
第17図は、反応器として使われる処理モジュール640
を示す。この反応器の構成は、前述の被着処理及びその
他の種類の被着に有効である。分配器310と312が各々別
々にバッフル314内に処理ガスの流れを放出し、バッフ
ル314は、これらのガス流をウェハ48の面54近くの頂部
室218へと上方に差し向ける。このウェハ48は、3本の
支持指状部214(第7図には1本だけ、第3図には3本
全てが示してある)によって導電性サセプタ300に対し
保持されている。
尚、図示の実施例において、3本の支持指状部214
は、比較的長く、それらの基部でそれぞれのたわみ板31
6によって頂部室218から充分離れて支持されている。各
指状部は2つの(またはそれより多い)板バネ(図示せ
ず)で支持されているので、指状部は垂直方向にたわみ
可能だが、常に垂直軸を維持しようとする。この構成の
利点は、ウェハ面54に近いほゞ全ての露出表面、特にウ
ェハ面より上流側の各表面が水晶あるいは別の比較的純
粋で不活性な物質によって作製される反応器を与えるの
を助ける点にある。種(CH32Znは極めて反応性が強い
ので、水晶以外の露出表面を最小限にすることは(粒子
の汚染を引き起こす可能性のある)付着の回避を促す。
またこの実施例では、係合対のテフロン被覆(登録商
標)バッフル318、320が排出ガス流をベローズ124から
分離するために使われており、ベローズの移動時に剥れ
る恐れのあるベローズへの付着を回避している。
本願で説明する実施例の幾つかは、ウェハの迅速な加
熱を可能とする放射熱源を備え、そして通常必要な長い
熱傾斜時間を含まない高温処理という利点を与える。第
18図に、迅速な熱処理を行うための構成を示す。
第18図は、ウェハ48が透明な真空壁238に対してまた
はそれに近接して保持された処理モジュール650を示し
ている。第18図に示すように、例えば180kWの高温白熱
灯330等の加熱要素リングが、上方の固定反射器334(第
19A図)に取り付けられている。上方の固定反射器334及
び下方の固定反射器332が加熱効率を最大限とし、すな
わち高温白熱等330から放出される光パワーのうち、透
明な真空壁238を介してウェハ48へ光学的に導かれる部
分を改善する。制御システム206は温度センサを用い、
反射器336を選定位置へと上下に移動することによっ
て、反射器の形状を変更制御するのも可能である。
第19A図は、上方固定反射器334の幾何形状を断面で示
す。反射器334の表面は、ランプに接近した側に3つの
直線、すなわち表面338、340及び342を有し、各表面は
ほゞ円錐台状に形成され、高温白熱灯330からの直接光
を壁238の方へ反射するように位置している。この実施
例における光路の幾何光学特性が、第19A図に示してあ
る。
しかし、図示の実施例は良好な結果と利点を実証して
いるが、こゝに説明する概念の利点を保持しつゝ、その
他各種の反射器の幾何形状も代りに使える。加熱要素及
び反射器の構成が、加熱モジュールを形成する。他の種
類の加熱モジュールも可能で、発生熱は、一例として第
18図の構成で、ウェハ48へと熱的に導かれる。
可動の上方反射器336(第18図)は、駆動装置344によ
って垂直方向に制御移動可能である。反射器336は、上
方固定反射器334の中心の円形開口内に位置する。反射
器336の移動は、第19B及び19C図に示すように、放射加
熱パターンの一成分の面積分布を制御可能とし、第20図
に示すような熱流の分布をもたらす。駆動装置334は、
第18図に示すごとく反射器336の上方に位置する。
第20図に示すように、上方の曲線652は第19A,19B及び
19C図のウェハ48のエッジ(第20図中左側)からウェハ4
8の中心(第20図中右側)に至る熱エネルギーの分布を
示す。点線654と実線656との間の領域が反射器336の寄
与分であり、実線656より下の領域が固定反射器332と33
4の寄与分である。これは、反射器336が第19C図に示し
た上方位置にあるときの、熱エネルギーの相対分布を表
わしている。第20図の曲線659は、反射器336が第19B図
に示した下方位置にあるときの、熱エネルギーの相対分
布を表わす。曲線659のうちの実線657より下の領域が固
定反射器の寄与分を、実線657と点線658との間の領域が
可動反射器336の寄与分をそれぞれ示す。
可動の上方反射器336(その先端が頂角90度の円錐体
とほゞ同様な形状を持つ)が第19B図に示すようにその
下方位置にあると、追加の加熱はウェハのエッジに与え
られる。一方、可動の上方反射器336が第19C図に示すよ
うにその上方位置にあると、その放射成分はウェハのエ
ッジへ選択的に導かれず、ウェハの中心が追加の加熱を
受ける。見易くするため、第19B及び19C図は白熱灯のフ
ィラメントと平行に発せられる光放射の成分だけをトレ
ースしているが、第19B図において、広い範囲の角度に
わたって発せられた光が同様に反射されることは明らか
であろう。
反射器332と336は、例えば金を被覆したアルミニウム
で形成され、各反射器内の通路を流れる水によって冷却
可能である。反射器334は、所望に応じ任意の適切な反
射物質で被覆し得る。
高温白熱灯330へ入力される電力は、コンピュータ制
御システム206(第31図)から与えられる制御信号の1
つによって制御される。一般に、白熱灯への電力は高い
電力レベル(例えば全電力の40%)へと高速で傾斜増加
され、処理に応じてある時間(例べば15秒)そこに保た
れる。次いで処理が完了するまで、低い安定レベル(例
えば全電力の16%)に傾斜減少される。
別の例として、実行すべき特定の処理がその処理中ウ
ェハを600℃の温度に保つ必要があれば、白熱灯電力は
全電力(すなわち合計5400ワット)の(例えば)30%で
オンされ、ウェハがほゞ所望の処理温度に達するまでそ
のレベルに保たれ、到達したら、処理の完了までウェハ
を所望の処理温度に維持するレベルへと電力が傾斜減少
される。
1つの実例システムでは、直径約15cm(6インチ)
で、約15cm(6インチ)の水晶プレートと対面した(金
メッキアルミニウム製の)反射器内に181kWの白熱灯が
リング状に位置する。水晶プレートの露出部は、透明な
真空壁238を与え、そして壁238に近接して保持された約
10cm(4インチ)のウェハの背面の放射加熱を可能とす
るのに充分なだけの大きさの開口を有する。
1つの実例処理では、上記の白熱灯電力によって、ウ
ェハが600℃に保たれる一方、H2400sccm及びWF68sccmの
処理ガス流は500ミリTorrの総圧力でウェハの前面に与
えられる。この化学作用は、毎分2000Åの速度で、高品
質のタングステン薄膜の共形被着が得られることを首尾
よく実証した。
一実施例では、ウェハを約900℃へ迅速加熱するの
に、固定反射器と白熱灯との組合せが使われる。ウェハ
は、結晶構造に何らのスリップも生じることなく、毎秒
少なくとも200℃で約1100℃まで加熱できる。加熱装置
は、以下詳述する動的な放射熱源である。
入射放射エネルギーの強度と半径方向の分布とは共に
調整可能である。白熱灯への入力電力の調整は、ウェハ
の温度を調整するのに使える。この実施例では(光パー
ミッタ等の)温度測定装置を用いて、処理すべきウェハ
の温度変化を検出する。加熱及び冷却中にウェハを横切
って適切な放射エネルギー分布を達成するために、可動
の反射器336は約3.8cm(1 1/2インチ)の総距離だけ移
動できればよい。例えば、曲線652が加熱中の分布を表
わし、曲線659が冷却中の分布を表わす。
第18図に示した実施例では、例えば毎秒200℃の速度
でウェハの温度を1100℃以上の最終温度へと傾斜上昇す
る間、ウェハの半径方向に沿った温度変化は1%以下に
保たれるという制御の実証に成功した。
所望の処理作業の終了後、ガス供給が停止されるかあ
るいは代りに不活性な種に切り換えられ、部分的に作製
された集積回路ウェハの制御冷却、あるいは存在し得る
浮遊粒子の沈降のため、処理室を開く前に任意選択とし
てホールド時間が介在される。所望なら、ガス浄化を行
うこともできる。
第21A及び21B図は、迅速加熱処理の能力を持つ真空処
理システムにおいて、ウェハ48と透明真空室238との間
での導電熱結合を減じる2つの変形例を示す。尚、これ
らの図面に示した反射器の構成は、第18図に示したもの
と形状が異なる。
第21A図は、ウェハ48の表面積のほとんどが透明真空
壁238と接触しない実施例を示す。そのため、透明真空
壁238は下向きに延びているリング350を含んで形成さ
れ、ウェハ48が3本の支持指状部214によって上昇され
たとき、リング350はウェハ48の外周49近くでウェハ48
と接触する。浄化ガスライン352が、ウェハ48の背面へ
の浄化ガス(例えば、アルゴン)の供給を可能とする。
第21B図は、ウェハ48が透明真空壁238と全く直線接触
しない実施例を示す。そのため、真空壁238より薄い第
2の透明プレート358が、指状部214によって押圧された
ウェハ48と接触する。プレート358は壁238の下側に位置
する。第2の透明プレート358は透明真空壁238より大巾
に薄いので、この導電結合は、透明真空壁238と全面接
触する場合より小さい熱負荷をウェハに与える。1つの
実例において、真空壁238は約1.3cm(0.5インチ)の厚
さであり、第2の透明プレート358は約1.5mm(0.06イン
チ)の厚さである。前例と同じく、浄化ガスライン352
が、ウェハ48の背面への浄化ガス(例えば、Ar)の供給
を可能とする。第2の透明プレート358を透明真空壁238
から離すのも有効である。
上記両実施例で使われる浄化ガスの供給は、ウェハを
横切って一様な温度分布を達成するのに寄与する。更
に、透明真空壁に近い領域への浄化ガスの供給は、被着
またはエッチング効果が累積して透明度を劣化させた
り、粒子を発生させたりしないようにするのに寄与す
る。
第21C図は、迅速熱処理の能力を持つ真空処理システ
ムにおいて、ウェハ48と透明真空壁238との間での導電
熱結合を減少する更に別の方法を示す。ウェハ48は、頂
部室218が閉じられたとき、ウェハが真空壁238からわず
かな距離(例えば1mm)だけ離れるような高さに、支持
指状部214によって支持される。
第21A、21B及び21C図に示した導電熱結合を減少する
各方式はウェハ処理で有用だが、他の種類の加工品にも
適用できる。
水晶で作製可能な透明真空壁238は大きな温度変動を
受け、そして一般に金属で作製され非常に異なる熱膨張
係数を有する室との間で真空密閉を維持しなければなら
ないので、透明真空壁238と反応器本体との間で、第21D
図に示すような特種の真空シールを用いる方が有利なこ
ともある。(ヘリコフレックス(Helicoflex)(登録商
標)シールとして商業的に周知な)かかるシールは、ス
テンレス鋼製のジャケット662内に閉じ込められたイン
コネル(Inconel(登録商標))製のヘリックス660を含
み、軟金属製のジャケット664(例えばアルミニウム)
がステンレス鋼製ジャケット662の密閉表面を取り囲ん
でいる。シールが締め付けられると、軟質金属製ジャケ
ット664の塑性変形は、洩れのないシールを与える。弾
性変形は、主に硬質のインコネル製ヘリックス600によ
って与えられる。
このようなシールは、参考文献として下記に示されて
いるように、(例えば600゜Fの温度で周期的にベークア
ウトされる)超高真空システムでの使用が示唆される。
I.サカイ(I.Sakai)等、「弾性金属ガスケット‘ヘリ
コフレックス’の密閉概念(Sealing Concept of Elast
ic Metal Gasket‘Helicoflex')」、32真空(Vacuum)
33(1982);ハジメ イシマル(Hajime Ishimaru)
等、「超高真空用のアルミフランジ及びアルミシールを
備えたベーク可能なアルミ真空質及びベローズ(Bakabl
e Aluminum Vacuum Chamber and Bellows with an Alun
inum Flange and Aluminum Seal for Ultra High Vacuu
m)」、26IEEE核科学に関する会報4000(1979);フレ
ミング(R.B.Fleming)等、「トカマク融合試験反応炉
に関する非円形大孔用のベーク可能シールの開発(Deve
loment of Bakable Seals for Large Non−Circuler Po
rt on Tokamak Fusion Test Reactor)」、17真空科学
・技術ジャーナル(Journal of Vacuum Science and Te
chnology)337(1980);ハジメ イシマル(Hajime Is
himaru)等、「超高真空用のアルミフランジとアルミシ
ールを備えたベーク可能なアルミ真空室及びベローズ
(Bakable Aluminum Vacuum Chamber and Bellows with
an Aluninum Flange and Aluminum Seal for Ultra Hi
gh Vacuum)」15真空科学・技術ジャーナル(Journal o
f Vacuum Science and Technology)、1853(1978)。
本出願人は、当初かかるシールは比較的高い温度(例え
ば600゜F)で大きい圧力差に耐えると共に真空シールを
維持する能力があるために市販されたが、そのようなシ
ールが迅速に変化する温度環境内で異なる2金属間に真
空シールを与えることは示唆されておらず、また特に真
空処理システムにおける迅速な熱処理のための真空シー
ルを与えることも示唆されていない。
但し出願人の実験によれば、エラストマシール材が放
射加熱にさらされない限り、一にはエラストマシールが
良好に機能することが示されている。
前述したように、一般に使われる電力レベル(12〜50
kWの白熱灯電力)は金被覆したアルミ製の反射器でも素
速く溶かしてしまうほどなので、放射加熱モジュールは
冷却通路を含んでいる。しかし第22図は、この点が間接
的に達成される別の構造を示す。反射器360の一部が冷
却通路を含んでいないので、この実施例の放射加熱モジ
ュールの全巾の冷却通路を含むものより小さい。冷却は
反射器360の側壁サイズを、放射加熱モジュールが冷却
通路364を含むハウジング組体362の内径内にスリップ嵌
合せさせるように選ぶことによって達成される。つま
り、白熱灯の電源がオンされると、反射器360が加熱
し、その側壁がハウジング組立体362と良好に接触する
まで膨張する。しかしこの接触時点で、ハウジング組立
体362への熱伝導が効率的な冷却を与えるので、反射器3
60の加熱は固有に自己制限される。放射加熱モジュール
のベース336は内部に冷却通路(図示せず)を有する
が、これらの通路とその接続は加熱モジュールの全巾を
増大しない。つまり、第22図に示した例は、ほゞ25.4cm
(10インチ)巾の放射熱源を与える一方で、標準的な2
5.4cm(10インチ)真空フランジ内に嵌合する加熱モジ
ュールを与える。勿論坐りの深さは、背後に頂部室218
が位置する透明真空壁238を通じて効率的な放射結合が
得られるように選ばれる。強化された真空フランジの適
合性によって、この実施例は超高真空処理ステーション
(つまり10-9Torr以下の圧力で作動するプロセスモジュ
ール)と組合せて用いるのに特に有利である。
第22図に示した処理モジュールは、内部の遠隔マイク
ロ波プラズマ発生、RF近接プラズマの発生、及びモジュ
ール内の同じ処理室に加えられる放射熱用の別々のエネ
ルギー源を有する。各エネルギー源は単独に、または任
意の組合せで別々に制御可能である。この処理モジュー
ルは、その場の乾燥清掃、高温天然酸化物の除去、放射
熱を用いた強化膜被着を与える。また、放射熱と組合さ
れた遠隔プラズマ源による低温でのエピタキャル膜成長
も可能である。更に、その場のRFと遠隔プラズマの組合
せを用いることによって、等方性及び異方性処理を含む
乾燥も可能である。前エッチング、エッチング及び後エ
ッチングの各処理、直接反応及び/又は迅速熱処理も実
施できる。このため、処理モジュールはウェハを移動せ
ずに、幾つかの異なる処理を逐次実施可能である。
第23図の実施例では、ウェハ48が透明真空壁238の下
方に示してあり、壁238はその上方に少し離れて位置す
る。壁238に近いウェハ238の面にガスを供給するため
に、浄化ガスライン352が設けられている。ウェハ48、
壁238及び加熱モジュールの構成は、第21A及び21B図に
示したのと同様である。但し第23図では、壁238とウェ
ハ48の間にシリコン電極670が設けられている。直接加
熱されるのはこのシリコン電極であり、ウェハは熱伝導
によって加熱される。シリコン電極670はそのエッジ周
囲で、RF導体リング672に接続されている。ウェハ48の
面54に近い近接プラズマ用の電圧は、RF導体リング672
を介してシリコン電極670に供給される。ウェハ48、シ
リコン電極670、及びRF導体リング672は全て電気的に結
合されている。第23図の処理モジュール675は、(第16
図の給送管675等のガス分配器によって与えられる)遠
隔プラズマと(第16図の分配器212等のガス分配器を介
した)近接プラズマ(in situ plasma)との両方を発生
できる。
第23図は4つの別々のエネルギー源、つまり内部発生
紫外線、遠隔MW(マイクロ波)プラズマ発生、RF近接プ
ラズマ発生、及び放射熱用の各エネルギー源を有する。
各エネルギー源は個別に制御可能であり、単独にまたは
任意の組合せで使用できる。処理モジュール675は、そ
の場での乾燥清掃を与えられる。また処理モジュール67
5は、高温天然酸化物の除去、紫外線を用いた強化膜被
着、及び放射熱を同時に施すのに使え、あるいは所望エ
ネルギー源の任意の他の組合せ、例えば放射熱と遠隔MW
(マイクロ波)プラズマ源との組合せで低温のエピタキ
シャル膜成長を行え、あるいは所望エネルギー源の任意
の他の組合せ、例えば近接RF及び遠隔MW(マイクロ波)
プラズマの組合せで等方性及び異方性処理を含む乾式エ
ッチングを行え、あるいは所望エネルギー源の任意の他
の組合せ、例えば直接反応及び/又は迅速熱処理で前エ
ッチング、エッチング及び後エッチング処理を行える。
第24図に示す処理モジュール680は第23図の処理モジ
ュール675と同様でが、追加の紫外線光源が含まれてい
る。ランプモジュール682が透明真空壁238の上方に位置
する。ウェハ48は壁238の下方に位置している。シリコ
ン電極670が壁238とウェハ48との間に位置する。シリコ
ン電極670は壁238から離れ、ウェハ48と接触している。
RF導体リング672がシリコン電極670と接触し、頂部室21
2内でウェハ48の面54に接触して近接プラズマを形成す
るためのRF電力を供給する。ガス浄化供給管352が、前
記と同じ機能を果たす。遠隔プラズマが供給管250を介
して与えられる。処理ガス分配器212が、ウェハ48の面
近くに処理ガスを与える。水晶製バッフル232は断面が
H状である。指状部214が、ウェハ48をシリコン電極670
に対して支持する。ガス分配器248が紫外線プラズマ空
間220用のガスを供給する。空間220の内外垂直壁に沿っ
てそれぞれ配置された電極684と685が、空間220内での
プラズマの形成に必要な電圧を与える。一般に、モジュ
ール680の下方部はモジュール620と同様である。
好首尾な結果が実証されている1つの処理では、銅を
ドープしたアルミ(Al:Cu)膜、例えば多量に銅をドー
プしたアルミ膜エッチングが可能である。プラズマを発
生させ、そしてウェハ面でプラズマ衝撃を与えるために
RF電力が使われ、供給混合ガスがBCl3、塩素及び炭化水
素源(例えばメタン等のアルキル基)を含む。基礎物質
に応じて、低揮発性の残留物を除去するのに、低圧力で
の後エッチング段が使える。
上記処理の実例は、好首尾な結果で次のように実証さ
れている。最初の構造は、2%の銅でドープされた5000
Åの厚さのアルミ層を含んでいた。初期のガス流は総圧
力100ミリTorr、印加RF電力レベル350ワットで、単一の
ウェハ反応器内にBCl360sccm、Cl220sccm及びCH45sccm
を含み、ウェハは下向きの姿勢で反応器内に保持され
た。一般に、供給電力は300〜1000ワットの間とし得
る。流量がいかに変化可能かの一例として、Cl2は10〜1
00sccmの範囲、BCl2は60〜250sccmの範囲、CH4は0〜15
sccmの範囲内の流量が可能であった。
第1の実施例では、上記の条件で酸化物上のAl:Cuが
きれいに除去されることが判明した。第2の実施例で
は、タングステン上の銅をドープしてアルミ膜を上記の
条件でエッチングしたところ、一部の銅残留物が残るこ
とが判明した。この第2の実施例では、総圧力40ミリTo
rr、印加RF電力レベル250ワットで、ガス流をBCl390scc
mとCl215sccmに変更した後エッチングを120秒間用い
た。得られた構造は、ほゞ垂直にエッチングされた側
壁、ほとんどないか皆無のライン巾腐食、及びフォトレ
ジストに対するほゞ2.5対1の選択性を示し、(銅残留
物の全くない)きれいな表面を残した。
この実施例は大きな利点をもたらすが、別の実施例は
更に他の利点を与える。使用する反応器は第23及び第24
図と同様、放射加熱とプラズマ衝撃の両方をウェハ面に
施せるものである。エッチング中、ウェハを(例えば)
約200℃に加熱し、銅存留物がそこに留まるのを防ぐ。
この実施例における放射加熱能力の別の有利な使用例
は、残留物の室壁からの除去を高めることである。例え
ば、ウェハの取出後、サセプタを処理温度(700℃等)
より著しく高い温度へ加熱することによって、非常に効
率的な室の清掃を行える。処理室は極めて小さいので、
室壁は全て放射熱の伝達によって、少なくとも幾らかサ
セプタと熱的に結合される。プラズマ内に非常に活性の
解離生成物を生じる供給ガスを流入し、高温と活性種と
の組合せで残留物を極めて迅速に除去することもでき
る。適切な供給ガスには、BCl3等の塩素源やSF6等のフ
ッ素源が含まれる。
別の実施例では、処理中ウェハを例えば数百度の温度
へ加熱するのに放射加熱が使われる。この処理は、ウェ
ハ上に銅残留物を残すことなく、多量の銅をドープした
アルミ(例えば2%銅)の迅速なエッチングを可能とす
る。清掃作業では、酸素も使わねばならないことがあ
る。
第25A図は、フォトレジストのエッジビード除去及び
フォトレジストの同時ベーキングを行うエッジ優先処理
用モジュールの全体図を示すが、こゝに記す概念は他の
処理工程を達成するシステムにも適用できる。第25A図
は処理モジュール690を示し。この処理モジュール690
は、この実施例では水晶製の出口管256によって、前述
のごとく処理ガス流内に活性化種を発生する遠隔プラズ
マ室254に接続されている。ウェハのエッジにおける反
応速度を増加させるため、円錐状のバッフル400が使わ
れている。チャネル形成用のバッフル400と支持体692は
断面がV状である。管256に接続された供給管250からの
ガスが、バッフル400と支持体692との間に形成されたチ
ャネルによって上方且つ外側に差し向けられる。このガ
スは、ウェハ48の外周49近くでチャネルを出る。ウェハ
48は、その円錐状先端が下を向いたバッフルの頂部と透
明な真空壁238との間に位置する。加熱モジュール694が
壁238の上方に位置している。
第25B図は、第25A図とほゞ同様な処理モジュール695
の詳細図を示す。これら両実施例の相違は主に次の点に
ある。第25A図では、透明真空壁238を介してウェハを照
射するか、あるいはウェハを押し付けるシリコンサセプ
タを照射する放射加熱モジュールによって、ウェハが加
熱され、一方第25B図では、ウェハは単に抵抗加熱サセ
プタ252である、 第25B図では、遠隔プラズマ室254(第25A図)からの
活性化種のガス流が、漏斗状ガス分配器416と供給管250
との間の(第9図に示したのと同様な)スリップ嵌合せ
継手258によって漏斗状ガス分配器416に接続されいる。
スリップ嵌合せ継手258は、こゝに開示する各種モジュ
ールの処理室を開閉する処理モジュール295の上下動を
許容するために設けられている。管256の延出部からな
る供給管250は前述のごとく、反応器の開閉につれて移
動しない水晶管とし得る。ベローズ414がスリップ嵌合
せ継手を取り囲み、粒子を持ち込む恐れがある何らの摺
動継手も必要とせず有効に気密状態を得ている。しか
し、第14図に示したように排気空間へ通気されただけの
スリップ嵌合せ継手を代りに用いることもできる。
ほゞ円錐状バッフル400は、小突起(図示せず)によ
って漏斗状ガス分配器416内に支持され、約1mmの厚さの
チャネル、つまり流路408を定める。漏斗状ガス分配器4
16上に取り付けられたバネピン406が、ウェハ48を加熱
サセプタ252に対して保持する。このサセプタ252はウェ
ハ48の外周49に沿って深さ約1.27cm(0.5インチ)の凹
部412を含むように形成されるのが好ましい。この凹部4
12が背面ビードの除去を容易とする。フォトレジストが
スピン塗布(すなわち回転するウェハ上に液として被
着)されたとき、フォトレジスタが背面領域のほとんど
に被覆されないとしても、得られるエッジビードは通常
ウェハの全エッジの周囲に延びる。そしてこの背面ビー
ドの除去はエッジビード除去用の乾式処理法では著しく
困難である。サセプタの凹部412を取り囲むリング状の
突起404が、ウェハの外周49近くにおける活性化種の滞
留時間を更に高める。このエッジビードが、取扱及び処
理中における粒子源となる。
温度の選択は、フォトレジスタの種類及び(ベーキン
グされたまたはベーキングされない)状態によって決ま
る。温度が高いほど速度が速まる。しかし、処理の化学
作用を変えることで、低温の処理を著しく補償できな
い。通常のパターン形成されたベーキングされないレジ
スト膜を処理する場合、この作業用の最大温度は100℃
であることが判明した。この温度より高いと、フォトレ
ジスタが流動し始め、パターンの輪郭限定を壊してしま
う。
円錐状バッフル400の平坦面つまりベース面420は、処
理作業中ウェハ48に近接して保持される。円錐状バッフ
ル400のベース面420の半径は、ウェハ48の半径より約1m
m小さい。円錐状バッフル400は通常、その平坦なベース
面420を除き、全表面が強度に陽極酸化されたアルミで
作製される。この平坦なベース面420は、平坦ベース面4
20のエッジを通り越して拡散し、従ってウェハ48のより
中心部のレジスト材料を侵食する恐れのあるゲッタ活性
化種を手助けするのに充分な反応性を有する。
天然酸化物が存在する場合でも、そのアルミニウム面
は分配器の越えて拡散しようとするオゾンや単原子酸素
等の酸化種を取り除くのに充分な能力を有するので、作
業のエッジ優先選択性が改善される。
エッジ選択性は更に、通気ライン402を介して接続管4
10に供給される浄化ガスを吹き込むことによって高めら
れ、そしてこの通気ライン402は、円錐状バッフル400を
貫いて延び、円錐状バッフル400のベース面420とウェハ
面54との間の狭いスペース(例えば約1mmの高さ)の出
口を形成している。
反応速度を早めるため、サセプタ252は少なくとも100
℃の温度に加熱される。通常のレジスト材料では120〜1
30℃の温度が有効だが、温度の選択は特定の処理条件に
依存する。例えば、より高い再流動温度を有するレジス
ト材料が一般により高い温度を可能とする。
エッジビードの除去は、ある処理においては、灰化で
ウェハ面のほとんどからレジストを除去した後に実施さ
れる工程として有用なこともあり、またレジストの全面
除去が所望の目的で、特にレジストの残部が除去された
後も留まっている厄介なエッジビードの場合には、第25
B図の構造を300℃等もっと高い温度でも任意選択として
作動し得る。
フォトレジストのエッジビード除去を好首尾な結果で
実証した実際の処理例を次に示す。第25B図に示したの
と同様な構成の反応器内で、サセプタ252を100℃に保ち
ながら、O21000sccmとH2200sccmから成り総圧力1Torrの
処理ガス流を、ウェハより上方側の400Wのマイクロ波放
電によって活性化した。この処理例では120秒間で、2
ミクロンの厚さのレジスト被覆のスピンの形成で生じた
エッジビード(推定約3ミクロンの厚さ)が首尾よく除
去された。この時間中に加えられた熱は、フォトレジス
タ処理において有用な工程として周知な“軟ベーキン
グ”も達成した。
第26A図は、ウェハ48をその場で清掃する単一ウェハ
スパッタリングシステムの実施例を示す。このウェハス
パッタリングシステムは、前記した何れの実施例とも異
なり、ウェハ48の下方の頂部室218の他に、ウェハの上
方の処理空間430を含む。頂部室218がその場で(in sit
u)の清掃用に使われ、上方処理空間430がスパッター被
着用にも使われるが、このシステム能力の他の使い方も
可能である。
またこの実施例は、使われるウェハ移送の点でもやゝ
異なる。ウェハ移送アーム28は、3本の支持指状部214
(第12図)のように、下方から機械的に支持された3本
の支持指状部214(そのうち2本だけが第26B及び26C図
に示してある)上に下向きにしてウェハ48を置く。図中
ウェハ48は、前述したようにアーム28によって指状部21
4上に置かれている。次に、ウェハ48がサセプタ438に接
触するまで指状部214を上方向に移動した後、室が閉じ
られる。サセプタ438と室218の(第26B図に示したよう
な)垂直外壁913の頂部との間に、1つ以上のシール911
が位置する。所望ならこゝで、頂部室218(第26A図)内
において処理工程を実行できる。3本の指状部440(そ
のうち2本だけが第26B及び26C図に示されている)が、
旋回可能なサセプタ438の周囲から(第26B図に示すよう
に)下方に延びている。指状部440上記の代りに、サセ
プタ438を貫いて延びてもよい。指状部214と440は同じ
垂直軸を中心に120度の間隔で離間されるが、その軸を
中心として相互にずらされている。モータまたはソレノ
イド910が付勢されると、指状部440は、垂直軸に沿って
上方向に移動し、外周49に近い位置でウェハ48と係合す
る。ウェハ48の面54は下向きであり、そして指状部440
は面54とその外周49近くで係合する。
支持体912(第26B図及び26C図)がサセプタ438と別の
モータ920(第26A図)とに取り付けられている。モータ
920は処理モジュール914の外側に取り付けられ、真空シ
ール922(第26A図)を介して支持体912に接続されてい
る。つまり、支持体912は、処理モジュール914(第26A
図)の全体的支持構造へ回転可能に取り付けられ、そし
て軸916(第26A図)を中心に回転する。第26B図に示す
ように軸916を中心に反時計方向に回転すると、支持912
は第26B図に示した位置から90度ずれた第26C図に示す位
置へと移動する。サセプタ438、ソレノイド910及びウェ
ハ48も同様に回転される。シャッタ918は第26B図では閉
位置、第26C及び26A図では開位置にあるものとして示さ
れている。シャッタ918はモータ924(第26A図)によっ
て、その開位置及び閉位置間で回転される。モータ924
は、処理モジュール914の外側に取り付けられ、真空シ
ール926を介してシャッタ918に接続されている。
ウェハがアーム28で指状部214上に移され、そしてア
ーム28が後退した後、指状部214は上方向に移動されウ
ェハ48をサセプタ438に対してクランプする。これが第2
6B図に示た状態である。ウェハが第26B図に示す水平位
置にある間、例えば遠隔プラズマを介してCF4とO2の混
合ガスを流し、そしてまたウェハ面から離れたプラズマ
からその場での紫外線照射を任意に与えることによっ
て、前述のごとく清掃作業を実施できる。
上記の作業後、指状部440は、上方向に移動され、そ
してウェハをサセプタ438に対してクランプする。次い
で指状部214は下げられ、そして頂部室218が開けられ
る。サセプタ438は、第26B図に示すほゞ水平位置から第
26C図に示すほゞ垂直位置へ、モータ920(第26A図)に
よって反時計方向に回転される。モータ920でサセプタ4
38を旋回することによって、ウェハ48が処理空間430内
に移される。ウェハ48が第26C図に示すように上方すな
わち垂直位置にきた後、例えば旋回可能サセプタ438が
旋回する軸と直交する別の軸を中心に旋回可能なシャッ
タ918が、頂部室218からの隔離を保証するのに使われ
る。
ウェハ48が第26C図に示す垂直位置に回転された後、
シャッタ918が第26B図に示す閉位置にある状態で、スパ
ッタモジュール930が時間的に電力供給され、シャッタ9
18にスパッタリングを施すことによってターゲットを清
掃する。次いで、シャッタ918を第26C図に示す開位置に
後退させる。スパッタ被着は、ほゞ通常の条件下で行わ
れる。その後、上方室を100mTorrより低い圧力(例えば
30mTorr)に保ち、シャッタ918を回転してスパッタター
ゲット432を露出させ、そして陰極436(第26A図)とス
パッタターゲット432との間に1000Vの電位を印加する。
被着効率を高めるため、ウェハ48とスパッタターゲット
432との間に小バイアス(例えば200V)を加えることも
できる。スパッタ作業の完了後、シャッタを閉じ、サセ
プタ438及びウェハ48が第26B図に示す位置に回転され
る。
頂部室212内で処理を行いたい場合には、指状部214が
上昇され、そして頂部室212が閉じられる。次いで、指
状部440が下げられる。そして所望の処理、例えば清掃
処理が実施される。その後ウェハは、第1、3及び4図
に関連して前述したごとく、アーム28によって処理モジ
ュール914から移送される。
別の方法で、ウェハを処理モジュール914から移送す
ることもできる。つまり、サセプタ438が第26B図の位置
へ時計方向に回転された後、移送アーム28が処理モジュ
ール914内に入れられる。アーム28がウェハ48の下方に
位置された後、ピン50(第1及び3図)はウェハ48と接
触するまで垂直上方向に移動可能である。次いで指状部
440が下降され、そしてアーム28がわずかに下げられて
処理モジュール914の外に出される。スパッタ中、圧力
は200mTorr以下とすべきである。
第27図は、ウェハ48と同様な数枚のウェハ942を同時
に処理する能力を持つ処理モジュール940を示す。第
1、3及び4図で論じた室12とアーム28が、第1図に示
したキャリヤから処理モジュール940へウェハを移送す
る。処理モジュール940は、例えば100気圧の高圧に耐え
られる鋼製の外側ジャケット944を有する。ジャケット9
44は、例えば300シリーズのステンレス鋼で作製でき
る。ウェハ942は、アーム28(第1、3及び4図)と同
様なアーム(図示せず)によって処理モジュール940内
に置かれる。各ウェハは水晶ロッド948のスロット946内
に置かれる。水晶ロッド948は、頂部室950を通って垂直
に延びている。第27図には2本のロッド948だけが示し
てあるが、追加のロッド−例えば1本のロッドが室950
の右側に位置し、第27図のように位置したウェハ942と
係合する−を設けることもできる。スロット946間の距
離は、アームが積み重ねられたウェハ642に達して取り
出せるのに充分なものとする。別の例では、アームが最
下のウェハを置けるように、スロット946のうち最下の
スロットが室950の底952から充分な距離だけ離される。
ウェハ942は中間スロットの各々に頂部スロットから入
れていき、最後に底のスロットが満たされる。
下方室955と室950の内壁957は、水晶で作製される。
ジャケット944と内壁957との間のスペースは、内壁に加
わる応力を最小限とするために、高圧の作業中室950内
の圧力と等しくされる。ジャケット944及び内壁957と室
950との間のスペースにそれぞれ接続された制御式の逆
止ガス弁960と962がコンピュータ制御システム206によ
って操作され、必要に応じ過圧を排出することで、内壁
957に加わる差圧の応力が大きくなり過ぎないように圧
力を制御する。例えば、室950内の圧力がジャケット944
と内壁957との間のスペース内の圧力より大きくなる
と、システム206が弁962を作動し、そして両圧力が適切
なレベル、例えばほゞ等しくなるまで圧力を放出する。
底952は、下方室955に供給されるガスを頂部室950内
へと上方に移動可能とする複数の孔965を有する。下方
室955への気体は、管970〜972を介して供給される。管9
70〜972は任意の適切な材料で作製できる。管970と971
は、室950内で所望の処理を行うのに使われる処理ガス
を、下方室955へと高圧力(100気圧)で供給する。管97
2は、ジャケット944と内壁957との間のスペースに浄化
ガスを供給する。必要な真空状態は、ポンプ975によっ
て室12に、ポンプ976によって室955に、別のポンプ(図
示せず)により管978を介して室955にそれぞれつくりだ
される。こゝに示した他の処理モジュールと異なり、処
理モジュール940の処理室950は、ウェハを上方へ頂部室
内に移動することによって密閉しない。処理モジュール
940においては、処理室950は、ベローズ981を用い内壁9
57の垂直部980を上下動することによって、密閉及び解
放される。開状態において、アーム28と同様な移送アー
ム28が室950にアクセスし、ゲート31が(第27図に示す
ように)開のとき、ウェハ942をポート30を介して移送
する。室950を閉じて、処理が行われる。ヒータ982が室
950内で内壁957上に位置し、室950内で行われる処理用
の熱を与える。
動作時には、(第1、3及び4図を参照して前述した
ように)キャリヤ10が開かれ、そしてウェハ947がキャ
リヤ10から室950内へ移送される。次いでゲート31が閉
じられる。ガスが、管970と971からジャケット944と内
壁957及び両室950と955との間のスペース内にそれぞれ
供給される。次いで室950を閉じ、そして管970、971及
び972を介して供給される気体、例えばそれぞれ酸素、
水素及び窒素から成る気体により高圧で処理が実施され
る。所望に応じ、ヒータ982からの熱を与えることもで
きる。管970と971からのガスに対する処理の中断後、管
972からの気体、例えばN2によって室950が浄化される。
その後、室950が所望の真空にされる。次いで所望な
ら、真空処理を実施できる。室950を開き、ウェハがポ
ート30を介してキャリヤ10に移送される。キャリヤ10は
第1、3及び4図を参照して前述したように閉じられ
る。モジュール940は5枚のウェハを受け入れ可能なも
のとして示したが、それより多いまたは少ないウェハを
受け入れることもできる。5枚より少ないウェハは、例
えば1回に1ウェハづつ処理できる。
高圧処理モジュールは、集積回路ウェハが主に真空下
で搬送及び処理されるシステムと適合可能である。圧力
容器は、極めて小さく、例えば0.28リットルとでき、す
なわち、総加圧容積を有し、その容積についてほとんど
全ての内点が室内に装填されるウェハのうちの1つの1
〜2cm以内に入る。
モジュール940は、数枚のウェハを同時に処理するの
が有効な場合、酸化物の成長等によって制限される反応
である遅い処理等その他の用途も有する。これは所望に
より、管970〜972からガスを与えずに行うこともでき
る。つまり、このモジュール940はそれぞれの用途に特
有な集積回路を処理するのにも適する。
高圧作業に関する機械的強度の制約は、設計するには
容易である。これはまた、高圧モジュールの加圧及び排
気がより迅速に実施可能なことも意味する。更に、真空
処理システムと適合可能なモジュール内で高圧処理(例
えば高圧酸化)を行う能力によって、処理量が増大し、
そして真空処理システム外で必要な酸化工程を実施する
必要性が除去される。
この種類の実施例は、低粒子用真空処理システムとの
適合を有利に可能としつゝ(通常高粒子の作業である)
従来炉の能力を与える。またこの種類の実施例は、非常
にコンパクトな領域に(通常比較的多量の床空間と配管
を必要とする)従来の炉の能力を与えるという利点があ
る。
処理モジュール940を用いる例では、高圧力での気相
酸化または硫化を用いて不活性化層を形成するように、
HgCdTeを処理可能である。つまり、HgCdTe基板が50〜15
0℃に加熱され、薄い酸化膜が形成される。イオウ源例
えばH2Sが、50〜100気圧の圧力で管970から、例えば100
sccmで供給される。この結果、薄い硫化物の絶縁膜が形
成される。また、例えば100sccmの酸素と例えば40sccm
の水素を用いて酸化を行い、10〜100気圧の圧力で水蒸
気/酸素の混合物を生成することもできる。
第28図は、注入器として用いるのに適した処理モジュ
ール1000を示す。注入器は、ウェハ、例えばウェハ48の
表面ドープ材を置くかまたは注入するのに使われる。ウ
ェハ48が、第1、3及び4図を参照して前述したアーム
28と同様なアーム(図示せず)によってモジュール1000
内に置かれる。この際、室12キャリヤ10が、第1、3及
び4図を参照して前述したように使われる。真空ポンプ
1002及び弁1004がモジュール1004の内部と接続され、必
要な真空を与える。必要に応じ、その他のポンプ及び弁
を設けることもできる。
ウェハ48は、室12と(第3図のポート30と同様な)ポ
ートとを介し、アーム(図示せず)によってキャリヤ10
から頂部室1006内に置かれる。ウェハは指状部214上に
置かれ、そしてこれら指状部は導電性となるように強度
に陽極酸化されたアルミまたはシリコンで構成し得る。
頂部室1006は、ベローズ1008の上向き垂直方向の移動に
よって閉じられる。ウェハ48が室1006の上部の電極1010
と接触するまで、指状部214はウェハ48を上昇させる。
これが第28図に示されたウェハ48の位置である。ウェハ
48の下向き面54に注入すべき物質を含むガスが、例えば
Asのガス源(図示せず)から管1014を介して加熱室1012
内に導かれる。管1014からのガスは加熱室1012内で、特
定のドープ材に適した温度、例えばヒ素の場合350℃、
リンの場合280℃に加熱される。次いでガスは管1022を
介し、マイクロ波空洞1020内へと上方へ流れる。別のガ
ス、例えばHeまたはArを、管1024を介して空洞1020内に
導くこともできる。あるいは、p形ドープ材として使わ
れる別のガス、例えばBF3を管1024を介して送ることも
できる。ガスは空洞1020内でマイクロ波エネルギーを受
ける。ガスは空洞1020内で、例えば0.1Torrの圧力の遊
離基となる。気体は空洞1020を出て、管1026を通り、頂
部室1006の下方に位置した下方室1028内に入る。管1026
は、下方室1028より下側の室1030の中心部を通過してい
る。室1028は、その垂直軸に沿い、ベローズ1008によっ
て部分的に取り囲まれている。
ガスは下方室1028から、水晶性のシャワーヘッド1032
を通って頂部室1006内に入る。シャワーヘッド1032は、
頂部室1006と下方室1028との間で水平方向に延びてい
る。シャワーヘッド1032は、下方室1028内のガスが頂部
室1006内へと通過するのを可能とする多数の開口1036を
有する。シャワーヘッドは水晶製バッフル1040の一部で
ある。バッフル1040は円筒状であり、その軸は、両室10
06と1028との中心部を通って垂直に延び、そしてシャワ
ーヘッド1032は水平に延びている。所望なら、シャワー
ヘッド1032は第30C図に示すようなものとできる。頂部
室1006内でガスがウェハ48に向かって加速され、ガス中
の物質を面54内に注入する。イオン流は、室1006内の圧
力に従って調整されねばならない。室1006の外側のバッ
フル1040の周囲に、2枚のバイアスプレート1042と1043
が位置する。プレート1042と1043には、負と正の電圧が
それぞれ印加される。プレート1042は、プレート1043の
下方にそれから離れて位置する。磁石1048がプレート10
43のすぐ上に位置する。一般に、磁場はウェハ面54から
の自由電子をはね返すのに充分な強度とする必要があ
る。例えば10〜10,000ボルトの正電圧が電極1010に印加
される。遊離基は、室1006内で制御され、そしてウェハ
48に向かって加速される。電極1010は、必要なら、開口
1034を介して流体を流すことによって冷却できる。
処理モジュール1000は真空ウェハ移送器を用いたシス
テムに適合可能で、そこではウェハが一般に、真空下で
下向きにして移送及び処理される。
処理ガスライン32及び前記の管970〜972等その他の給
送管、ガスライン及び管は、表面での粒子取り込みを減
じるために、それらの内面上に小溝または小突条を有す
るように形成(あるいは被覆)される。抵抗を減じるた
めに航空輸送手段の外側に小突条を用いることは、「溝
が航空機の抵抗を減少する(Grooves Reduces Aircralt
Drag)」、NASA技術概要(Technical Birefs 5
(2)、192頁(1980)、及び「達成された使命(Missi
on Accomplished)」、NASA技術概要(Technical Biref
s)11(3)、82頁(1987)によって示唆されている。
しかし本発明で小突条を用いたのは、配管壁上の淀み境
界層を安定化し、従って配管中を流れるガスが壁上に付
着している粒子にその解離に充分な圧力を加える可能性
を減少するるためである。処理ガス源がどんな清掃度の
場合でも、これはガス中に取り込まれ室内へと運ばれる
粒子の数を減少するという利点がある。
これら小突条の形状及びサイズに関する幾つかの実施
例を第29A、29B、29C、29D、29E、29F及び29G図に示
す。前記NASA技術概要(Technical Birefs)の文献は航
空輸送手段の外側に小突条を用いることを示唆している
が、本発明での利点はそれらの溝または小突条を、配管
中を流れるガスが壁上に付着している粒子にその解離に
充分な圧力を加える可能性を減じるのに用いている点に
ある。NASA刊行の文献は、溝が乱流の発端バーストを閉
じ込めるので、バーストは移動する航空機を取り囲む境
界層へと拡がらず且つ乱さないと指摘している。第29A
〜G図に示すように、溝のほとんどの実施例はほゞV状
であるが、それ以外の各種形状も取り得る。例えば、対
称または非対称断面の丸まった、または鋭い頂端にして
もよい。空気力学的な性能を最適化するためには、さま
ざまな断面形状の非対称溝を、幾つかの規則的順序で配
列してもよい。つまり、本発明においてそれらの溝また
は小突条は、配管壁上の淀み境界層を安定化するのに使
われる。処理ガス源がどんな清浄度の場合でも、これは
ガス中に取り込まれ室内へと運ばれる粒子の数を減少す
るという利点がある。第29A〜29G図には各々1つか2つ
の溝または小突条しか示してないが、こゝに開示の処理
モジュールに関連して示した管、給送管、分配器等の内
壁の一部として同様の多くの溝または小突条が含まれて
いる。
第29A図は、管1102の壁のV条の溝1100を示す。管110
2の一部だけが管内壁の一部を横切って見た断面で示さ
れており、これは第29A〜29G図の他のパイプにも当ては
まる。溝1100は深さ約0.254mm(0.010インチ)、頂端11
04(第29A図中左側)から頂端1006(第29A図中右側)ま
での巾約1.14mm(0.045インチ)とし得る。
第29B図は、管1112の壁V条溝1110を示す。溝1110は
両頂端1114(左)と1115(右)間の巾が約0.254mm〜約
0.508mm(0.010〜0.020インチ)の範囲で、約0.508mm
(0.020インチ)の深さを有する。
第29C図においては、突起1120が管1122の内壁から延
びている。突起1120は3角形状の断面を有し、頂端1124
の角度が90度、底辺左側の角度が30度、底辺右側の角度
が60度である。頂端1124から底辺までの距離は、例えば
約0.254mm(0.01インチ)、底辺両端間の距離は、例え
ば約0.584mm(0.023インチ)とし得る。各種の突起間に
溝または突条が形成されてもよい。管1152の別の突起11
50が第29F図に示してあり、基本の形状は突起1120と同
じである。突起1150は前例と同じ各角度を有し、その底
辺両端間の距離は、例えば約1.17mm(0.046インチ)、
底辺から頂端1154までの高さは、例えば約0.508mm(0.0
2インチ)である。
別の3角形状突起1130が第29D図に示してある。突起1
130は、角度60度の頂端1132、角度40度の左側の底辺、
及び角度80度の右側の底辺を有する。突起は管1134の内
壁から延びている。3角形の底辺両端間の距離は約0.71
1mm(0.028インチ)、底辺から頂端1132までの距離は約
0.508mm(0.020インチ)とし得る。管1162の別の突起11
60が第29G図に示してあり、基本の形状は突起1130と同
じである。突起1160は突起1130と同じ各角度を有し、そ
の底辺両端間の距離は、例えば約0.711mm(0.028イン
チ)、底辺から頂端1164までの高さは、例えば約0.508m
m(0.02インチ)である。
第29E図は、管1142の内壁のV条溝1140を示す。両頂
端1144(左)と1145(右)間の距離は、例えば約0.254m
m(0.010インチ)とし得る。各頂端1144と1145は丸めら
れている。溝1140は、例えば約0.508mm(0.020インチ)
の深さとし得る。
上記したような反応器で極めて顕著な成功を収めた1
種類の処理は、耐熱性金属を含む材料に対する非等方性
のフッ素エッチングである。
炭化水素と臭素源、例えばHBrまたはCF3Brとの組合せ
が、フッ素ベースのエッチングに対して非常に強い不活
性化の化学作用を与えることが見い出された。SF6、N
F3、HF、F2、CF4、C2F6、BF3またはSiF4等のフッ素源
を、フッ素ベースのエッチングに用いることができる。
例えば、好首尾な結果で実証された一実施例は次の通り
である。最初の構造はタングステンの薄膜を含んでい
た。初期のガス流は総圧力250ミリTorr、印加RF電力レ
ベル500ワットで、SF650sccm、CF45sccm及びHBr15sccm
を含んでいた。パターンがはっきりなり始めた後、後述
するようにWF620sccmの追加流を加えた。こうして得ら
れた構造は、ほゞ垂直なエッチ側壁、ほんのわずかなラ
イン巾の侵食、及びレジストに対する優れた選択性を示
した。別の処理では、オーバエッチング時の負荷として
作用するWF6を含むフッ素源が、ライン巾の損を減じる
ことが判明した。
CH4の比率及び臭素源の比率を高めると、より一層堅
固な不活性化作用が得られる。例えば次の条件が零のラ
イン巾侵食をもたらすことが判明した。SF640sccm、CF4
15sccm及びHBr25sccmで、総圧力470ミリTorr、印加RF電
力レベル400ワット。比較的高い総圧力の使用が、一様
性の維持を助ける。
不活性化被着の速度を更に速めると、負のエッチング
バイアスが達成可能である。見本の実施例として、タン
グステンの薄膜を次の初期ガス流を用いてエッチングし
た。SF650sccm、CF418sccm及びHBr25sccmで、総圧力470
ミリTorr、印加RF電力レベル400ワット。使用したレジ
ストパターンは、最小ピッチ2.7ミクロン(最小ライン
巾1.7ミクロンと最小スペース巾1ミクロン)の最小ピ
ッチであった。この化学作用の使用は、最終的に0.6〜
0.7ミクロンのエッチングスペース巾を生じることが判
明した。つまり、この化学作用は約0.15〜0.2ミクロン
の“負のエッチングバイアス”を与えた。上限として、
他の条件を変えずにメタンの流量を21sccmに増すと、エ
ッチングが完全に停止、すなわちタングステンのエッチ
ング速度が零になることが他の実験で実証されている。
またこの種類の不活性化化学作用は、強い異方性のシ
リコンエッチングを与えることも発見された。実験によ
り好首尾な結果が実証された特定の一実施例において
は、次のようなエッチング化学作用を用いた。初期のガ
ス流はSF650sccm、CF45sccm及びHBr15sccmを含み、総圧
力250ミリTorr、印加RF電力レベル500ワットであった。
これらの条件はシリコンを25秒間で深さ3ミクロンエ
ッチングし、レジストに対する優れた選択性を維持しな
がら、ほゞ垂直のシリコン側壁をもたらした。但し、こ
れらのエッチング条件は特に酸化物に対しては選択性を
示さなかった。つまり、このエッチング化学作用はトレ
ンチをエッチングするのに特に有効である。デバイス構
造におけるトレンチの利点は以前から認識されていた
が、通常トレンチは、遅く、且つ、トレンチ底の凹凸、
溝切りまたは逆行曲りのようにエッチングによる極めて
望ましくない人為構造を生じ易い低圧力のエッチング条
件によって、作製されていた。こうした低圧力処理の困
難を避けられるのも利点である。
別の種類のフッ素エッチング用の化学作用では、SF6
等のフッ素源と、HBr等の臭素源と、非常に弱い酸素源
(例えば一酸化炭素)を含む供給混合ガスを用いる。こ
の化学作用は、フォトレジストに対する良好な選択性を
示しながら、異方性の高速フッ素エッチングを与える。
好首尾な結果で実証された処理の実例を次に示す。最
初の構造は、形成有機フォトレジストのパターン化層に
よって被覆されたタングステンの薄膜を含んでいた。初
期のガス流はSF625sccm、HBr25sccm及びCO40sccmを含
み、総圧力300ミリTorr、印加RF電力レベル175ワットで
あった。オーバエッチング期間中は、WF620sccmの追加
流を有効に加えた。こうして得られた構造は、急勾配傾
斜の側壁、ほんのわずかなライン巾侵食、及びフォトレ
ジスタに対する約2対1の選択性を示した。
この化学作用は、一酸化炭素の代りに別の弱い酸素源
を用いることによって変更できる。つまり、N2OやCO2
の弱い酸素源が代りに使える。事実、COの代りに極めて
小流量(1sccm以下)のO2を用いるという利点を得るこ
ともできるが、このような非常に小さい流量は従来の半
導体製造装置で再現性よく制御するのは困難である。
別の種類のフッ素エッチング用の化学作用では、フッ
素源(SF6等)と、フルオロシラン(SiF4等)と、臭素
源(HBr等)と、一酸化炭素等の弱い酸素源を含む供給
混合ガスを用いる。この化学作用は、フォトレジスタに
対して良好な選択性を示して、異方性の高速フッ素エッ
チングを与える。
好首尾な結果で実証されたこの処理の実例を次に示す
最初の構造は、有機フォトレジスタ材料のパターン形成
層で被覆されたタングステンの薄膜を含んでいた。初期
のガス流はSiF425sccm、SF625sccm、HBr25sccm及びCO30
sccmを含み、総圧力350ミリTorr、印加RF電力レベル175
ワットであった。オーバエッチングの期間中はレジスト
の侵食を避けるため、WF630sccmの追加流を上記のガス
流に加えた。こうして得られた構造は、ほゞ垂直のエッ
チング側壁、ほんのわずかなライン巾侵食、及びフォト
レジスタに対する約3対1の選択性を示した。
2段のシャワーヘッド280(第30C図)が、ウェハ48の
下面54近くで第3のガス供給管250と頂部室218との間に
配置される。このようなシャワーヘッドの一例を第30C
図に示す。2つのバッフル284と286がハウジング282内
に固定の関係で水平に保持され、バッフル286がバッフ
ル284の下方に位置する。第3のガス供給管250がバッフ
ル286の下方に位置し、そしてガスはガス供給管250から
バッフル286の孔290とバッフル284の開口1202とを通っ
て上方に進む。両バッフルは、第3供給管250からの処
理ガスがウェハ頂部室218内へ直接流入するのを阻止す
るように配置され、また第2バッフル284のどの孔288も
第1バッフル286の孔290と整列一致しないように位置合
せされている。シャワーヘッド280は、所望に応じこゝ
に開示の処理モジュールと組合せて使える。ハウジング
282は、幾つかの形状とでき、そして例えば漏斗の狭部
を供給管250の周囲に配置し、且つ、両バッフルを漏斗
の上方円筒状部に配置する漏斗形状としてもよい。
2段のシャワーヘッドは、この実施例において、“tu
framacoated"(テフロン含浸(登録商標)陽極酸化)ア
ルミ、テフロンまたは水晶で作製される。好首尾な結果
の実験により、他の幾何形状のシャワーヘッドも機能す
るが(例えば円形リングを持つ水晶管で、ガス分散孔が
ウェハから離れて位置したもの)、2段型シャワーヘッ
ドの方がその高い処理量と一様性のためより有効であ
る。
遠隔プラズマ処理は比較的新しいので、非一様性に対
処する従来の方法はほとんどない。ある製造メーカー
は、2つの同心円に沿って比較的大きい孔(内径約6.35
mm(0.25インチ)を持ち、中心に1つの小さい孔(内径
約3.81mm(0.15インチ))を持つ単一のシャワーヘッド
を使用した。これはシャワーヘッドを設けないのと比べ
改善されているが、別の市販のフォトレジスタストリッ
パが設備されているので、著しく高いストリップ速度が
ウェハの中心で生じる。レジスト除去のパターンは、シ
ャワーヘッドの孔のパターンを明らかに反映する。ウェ
ハの半径方向に沿ったレジスト除去のプロットを第30A
図に示す。比較のため、シャワーヘッドを用いない場合
の結果を第30B図に示す。
第30B図の各曲線は、ウェハの中心からの距離が増す
につれ、レジストの除去量(厚)が減少することを示し
ている。第30A図の各曲線は、2段型シャワーヘッドの
使用がレジスト除去の一様性を大巾に改善することを示
している。
単一シャワーヘッドの欠陥は、反応器内におけるガス
流の性質に基づいている。流れは粘性のある層流なの
で、管を横切る速度分布は次のようになる。
管壁近く(γ=R)ではガス速度が非常に低いが、管中
心(γ=O)ではガスははるかに速く移動し、平均速度
<v>の2倍にまでなる。このような速度分布のガスが
ウェハに衝突すると、速度が低い所より速度が高い所
で、より多くの反応物が移動する。これによって非一様
性が観測されるが、こゝに開示の実施例はこの問題を解
消している。
その解決法は、ガス流内の一点と他点との間での速度
差を減じることにある。これはウェハへ達する前にガス
流が上式(1)で与えられる安定状態へ戻ってしまわな
いように、反応器の断面積が大きい放出管の下流で行わ
れなければならない。シャワーヘッドの孔を直接通過す
るガスの速度が著しく変化しないので、そのガス部分の
何れにも、放出管からウェハへと直接の、つまり“直視
の”通路が与えられてはならない。直視通路が残ってい
ると、ガスはそこを優先的に通過する。
直視通路を避けるために、第2のシャワーヘッドつま
りバッフルが必要である。このバッフルの重要な特徴
は、第30C図に示したように、下方シャワーヘッドを介
した放出管からの直接のガス流を阻止することにある。
これによって、各ガス部分が充分混合され、比較的一様
な速度分布が下方バッフルの下側に現われる。流入ガス
と出会う第1のシャワーヘッド部品は、(1)第2のシ
ャワーヘッド部品を通るガスの直接通過を阻止する多数
の接続バッフル、または(2)前方への動きを完全に止
め、第2のシャワーヘッド部品へ至る前に速度ベクトル
を強制的に軸方向から半径方向に変化させる1つの一体
バッフル、または(3)(1)と(2)の間の中間構造
で構成し得る。
バッフル及びハウジングについて別の形状を用いた結
果を第30D図に示す。第30D図の各曲線は、第1のシャワ
ーヘッドが第2のシャワーヘッドの中心孔をちょうど覆
う円錐状バッフルから成るような2段型シャワーヘッド
概念の実施によって得られた、最適ではないが改善され
た灰化の一様性を示す。これは、使われている固有の化
学作用は異なるが、円錐状バッフルなしの第2のシャワ
ーヘッドを用いて得られた第30A図と比較可能である。
上記シャワーヘッドは、第30C図に示したバッフル284と
同様なバッフルを有することができる。また、供給管25
0の先端真上に位置し、頂端を上向きにした円錐体から
成る下方バッフルを有することもできる。円錐体の直径
は、供給管250の直径よりやゝ大きくすればよい。円錐
体を逆さに配置するのも可能である。これ以外の2シャ
ワーヘッドの構成も可能である。
壁に沿ってその後に生じるガスの遅れが、ウェハへの
到達前に新たに形成されたガスの速度分布ウェハを著し
く左右しないように、室壁はシャワーヘッド及びウェハ
から充分に離れて位置する必要がある。この一様な速度
分布の効果は、参考文献として本明細書に含まれるシュ
リヒテング(H.Schlichting)著、「境界層の理論(Bou
ndary Layer Theory)」(第7版、1979)から引例した
第30E図に示すように、表面上に厚さdの一様に厚い境
界層を形成することにある。一様な境界層は、ウェハに
対する反応物の一様な輸送をもたらす。
シャワーヘッドを形成する材料は、セラミック、強度
の陽極酸化アルミ、ステンレス鋼、テフロン、または水
晶が使え、処理ガスとの適合性に依存して選ばれる。寸
法は、一様な速度分布に影響を及ぼさないように反応器
の壁がウェハから充分に離れていれば、任意のウェハサ
イズと合うように選べる。各孔のサイズは、ガス全体の
通過を妨げたり、表面における反応種の損失を生じない
ように、また加工し易いように充分大きく(ほゞ2.54mm
〜6.35mm(0.1〜0.25インチ))とすべきである。2つ
のシャワーヘッド部品間の距離は、少なくとも径の2倍
の大きさとすべきである。2つのシャワーヘッド部品
は、下向き処理用の向きで配置することもできる。
つまり、この種類の実施例は次の利点を与える。
(1)速い流れの遠隔プラズマシステム内における全て
の等法性処理への適用、(2)一様な処理結果の促進、
(3)高速のエッチング及び被着用の高い反応物処理量
の維持、(4)処理適合性のための弾力的な材料選択、
(5)下向き処理の包含。
関連の背景情報は、参考文献として本明細書に含まれ
るホワード(C.J.Howard)の論文、vol.83、J.Phys.Che
m.,6頁(1979)に見い出せる。
こゝに開示する一方法は、ポリマー、その他の有機残
留物を除去する処理であるデスカム(descum)処理を与
える。この処理では改善された結果を達成するために、
2段のシャワーヘッド(第30C図)を含む分配器を介し
て供給される遠隔プラズマを用いる。
フォトレジストを用いる場合の一般的な処理条件が、
“デスカム”と称される工程である。通常のフォトレジ
スト処理は、レジストの露出形成後に、完全に清浄なパ
ターンを与えない。パターンの中には、取り除くことが
望まれる領域や、高分子量ポリマー化合物の顕著な残留
物を尚含む領域が存在する。通常これらは、強い侵食性
の等方性エッチングで除去されねばならない。例えば、
非露出領域内における1.4ミクロンの厚さのフォトレジ
スト層は、その領域内に0.5ミクロン以上のレジスト残
留物を尚含み、これを取り除く必要がある。通常これは
湿式処理工程として行われているが、こゝに開示する実
施例はこの機能を乾式処理で行う方法を与える。
この処理の一実施例においては、パターン化フォトレ
ジスタのデスカムが、100℃及び総圧1Torrで、O21000sc
cmとH2200sccmから成る混合処理ガスを用い、好首尾な
結果で実証された。質量流量の選択は、O2の質量流量に
比例した大きい除去速度をもたらす一方、質量流量と圧
力に反比例するウェハの半径方向における一様な除去を
保つように設定された。反応器は、全てのガスが400Wの
電力を供給される遠隔プラズマ室254を通過するように
設定された。
処理の化学作用は、その他、O2と次の種のうち1つ以
上とで構成することもできる。この種とは、N2O、H2O、
H2、CF4、CHF3、HCl、HBr及びCl2である。これらのう
ち、一部の例では下記の理由から付加ガスとして最も有
効である。(a)N2Oの付加は、特に低温においてH2
ど速度を高めない、(b)ハロゲン含有ガスは、基板上
に存在する金属に有害な影響を及ぼす危険を与える。こ
の制約を度外視すれば、CF4とCHF3はH2より1桁速いデ
スカム速度を与えるので、非常に良好な付加ガスであ
る。CF4及びCHF3に伴う残りの問題は、F原子の存在に
よる反応器材料との適合性の問題である。この点は、テ
フロン(登録商標)製のシャワーヘッドを使うことで解
消できる。
水素種の使用は、レジスト材料内の不飽和結合を開く
のを助けることによって、反応に有利に寄与し得る。
選択性はデスカム処理においてそれほど重要ではない
が、実際上こゝに記す処理はシリコンに対して良好な選
択性を有し、この点も利点である。
使用するレジストは、標準的なポジティブレジストと
した。指定のテスト例では、MF−314発色剤で発色され
たシプリー(Shipley)1813(登録商標)を用いた。パ
ターン形成は約250mesc間iライン波長で行い、不足露
出による“スカム”を与えた。レジストは、検討の目的
上そのままのSi上に施したが、実際の使用時には、フォ
トレジスタをアルミ等エッチングすべき膜の頂部に施し
て実行される。サンプルを処理し、所望のパターン間に
かなりの量の非露出フォトレジスタを残した。事実、SE
M画像の測定から、所望のレジストパターン間に残って
いるほゞ5000Åのフォトレジスタが認められ、これは実
際のケースで見られるものより少なくとも1桁悪いと推
定される。本ケースでは、中間“スカム”が、光学的顕
微鏡法によって6分間で除去された。従って、むしろ50
0Åのスカムが一般に存在する実際のケースでは、処理
が1分より短くなるはずである。
エッチングまたは被着用途のための活性化種を発生す
る遠隔プラズマを用いる処理に伴う一般的な問題は、ウ
ェハの表面における処理の一様性が劣る点にある。これ
は、ウェハの表面の真上に淀みガスの境界層を形成する
ガスの流体力学の結果である。淀みガスは、ウェハへ
の、及びそのウェハからの反応物及び生成物の輸送を妨
げる。この問題はフォトレジスタの灰化で検証されてお
り、レジストの除去は一般にウェハのエッジにおけるよ
りも、反応室への放出管の入口真近で数倍大きくなる。
この例では、一様性が良くないために、デスカム用途用
の装置を用いることができない。特別に設計された2段
のシャワーヘッドを遠隔プラズマウェハのガス分配器と
して用いるという本願の教示は、一様性が大巾に改善さ
れるという利点を与える。
次に第31図を参照すると、真空処理システム用の電気
計装及び制御系700のブロック図が示してある。この系
は、8088ベースPC、つまりテキサス・インスツルメント
社のプロフェッショナルコンピュータとし得るコンピュ
ータ制御システム206によって制御可能である。コンピ
ュータ制御システムは、要望に応じ特定の処理シーケン
スを実施するようにプログラムできる。処理が開始され
ると、コンピュータ制御システム206が処理フローをモ
ニターして制御する。
系は多数の処理モニター計器を有し、これらが制御シ
ステム206に入力信号を与える一方、これらの入力信号
及びプログラムされた処理シーケンスに基づき、制御シ
ステム206は制御器あるいは特定の構成部品のいずれか
に出力を与える。コンピュータ制御システム206の各入
/出力を以下説明する。
真空ウェハキャリヤ10(第1図)を真空装填ロック室
12内に置き、そして装填ロック蓋20を閉じた後、自動処
理シーケンスが始められる。処理シーケンス及びスター
トを含むコンピュータ制御システムとのキーボードを介
した対話は、メニュー駆動される。初期の起動シーケン
ス中、実際の処理を開始する前に、粗引きポンプ、ター
ボ分子ポンプ、必要なら低温真空ポンプが全て始動され
る。
浄化及びポンプ排気機のシーケンスは第11図に示して
あり、以下必要に応じ同図も参照する。処理800がスタ
ートすると、コンピュータ制御システムが装填ロック粗
引きポンプ用制御器701に信号を送り、同制御器は、ス
テップ804に示すように、粗引きポンプ用装填ロック隔
離弁702を開く信号を送る。これで、粗引きポンプが真
空装填ロック室2内を真空に引き始める。
次いでステップ802に示すように、制御システム206が
装填ロック窒素浄化弁703を開く信号を送る。これで、
装填ロック室12の窒素浄化が始まり、真空ウエハキャリ
ヤ10の外表面を汚染している粒子をその表面から吹き飛
ばし、そして真空系による除去を可能とする。また、装
填シーケンス中に真空装填ロック室12へ至る通路で見つ
かった粒子の除去も可能とする。
次いで制御システム206が装填ロック圧力制御器704に
圧力設定点信号を与え、同制御器はステップ808に示す
窒素浄化中に電気信号を装填ロック圧力制御弁705に与
える。
ステップ806に示すように、装填ロック粒子センサ202
及び粒子カウンタ850は、浄化処理中に検出した粒子の
数に対応する入力信号をコンピュータ制御システムを与
える。ステップ810に示すように、粒子カウンタ850が所
定の時間全く粒子を検出しないと、制御システム206が
信号を送り、ステップ814に示すように装填ロック窒素
浄化弁703を閉じ、そしてステップ812に示すように装填
ロック圧力制御器704を介して装填ロック圧力制御弁705
を全開して浄化処理を完了する。
次いでステップ816に示すように、制御システム206が
装填ロックターボポンプ隔離弁707を開く信号を装填ロ
ックターボポンプ制御器706に送る。
装填ロック内の真空が真空ウェハキャリヤ10以上にな
るまで、ターボ分子ポンプが装填ロックをポンプ排気し
続ける。ステップ818に示すように、真空装填ロック内
の真空が装填ロック真空計62からコンピュータ制御シス
テムへ入力として与えられる。
真空が充分に下がった後、制御システム206が真空ウ
ェハキャリヤドアモータ707に信号を送り、ステップ822
に示すように真空ウェハキャリヤドア14を全開する。最
後のウェハの処理シーケンスが完了するまで、ドア14は
通常開いたまゝである。
こゝでウェハ48は、制御システム208によって制御さ
れる移送アーム28を介し、ウェハキャリヤ10から処理室
へ任意の所望順序で移動可能である。制御システム206
が移送アーム28を移動可能とする前に、真空ウェハキャ
リヤドア14が全開であることを真空ウェハキャリヤセン
サ708を指示しなければならない。制御システム206が移
送アーム制御器709に信号を送り、ウェハ移送アーム28
をそのホーム位置から、処理のために選ばれたウェハの
下方に近接するがそれには接触しない位置へと移動し、
これが制御システム206に入力される。
移送アーム28がウェハの下に位置すると、ウェハがそ
の位置に存在するかどうかを示す信号を、ウェハ移送ア
ームセンサ710が制御システム206に送る。ウェハアーム
移送センサ710は容量型近接検出器である。ウェハの存
在が検出されると、制御システムは移送アーム制御器70
9に信号を送り、移送シーケンスの継続を可能とする。
移送アーム28が垂直に上方に移動し、そしてウェハ48を
突起60から持ち上げる。
ここで第1、3及び4図に関連して説明したように、
ウェハを3本のピン50上に支持した移送アーム28は、真
空ウェハキャリヤ10から外へ水平に移動する。移送アー
ム28が真空ウェハキャリヤ10から出た後、移送アーム制
御器709は、隔離ゲート31(第3図)を通過して処理室
内の3本のテーパ状ピン53の頂部へと載置可能な適切な
垂直位置に移送アーム28を位置決めする。
所望なら、ウェハ48を隔離ゲート31を介して移動しよ
うとする前のある時点で、一般には最後の処理シーケン
スの終わりに、装填ロック室が前記のごとく行ったのと
同様なポンプ排気及び浄化処理を、処理室がし終ってい
る。制御システム206が処理室圧力制御器711に信号を送
り、同制御器711は更に処理室粗引きポンプ隔離弁712を
開く信号を送る。次いで制御システム206は、窒素浄化
弁713を開く信号を送った後、処理室圧力制御器714に設
定点信号を送り、更に同制御器114が処理室絞り弁715を
制御し、窒素浄化処理の間処理室内を適切な真空に維持
する。この浄化処理は、制御システム206によってモニ
ターされている所定の時間中に処理粒子カウンタ208が
粒子の不在を検出するまで継続される。
上記の状態が達成されると、制御システムは処理室窒
素浄化弁713を閉じ、そして処理室圧力制御器714は処理
室隔離弁715を閉じる。制御システム206は処理室ターボ
ポンプ制御器716に信号を送り、処理室ターボポンプ隔
離弁717を開く。処理室真空センサ718が、真空情報を制
御システム206に与える。
真空センサ718からの入力信号によって指示される処
理室内の真空と、真空センサ62によって指示される装填
ロック内の真空とが所定のレベルより低いと、制御シス
テムが隔離ゲート31に信号を送って開く。
次に移送シーケンスに移ると、移送アーム28がウェハ
48と共に、隔離ゲート31を通り処理室内へと水平に移動
する。次いで移送アーム28が下降され、そしてウェハ48
が処理室内の3本のテーパ状ピン53上に載置される。ウ
ェハがアームから取り除かれたことをウェハ移送アーム
センサ710が指示すべきように、移送アーム28は充分に
下降される。ウェハ48がもはや移送アーム28上に存在し
ないことをウェハ移送アームセンサ710が指示すると、
制御システム206は移送アーム制御器709に信号を送り、
移送アーム28を隔離ゲートを介して処理室から取り出
し、そのホーム位置へと戻す。上記のシーケンスが完了
すると、制御システム206はベローズ空気シリンダ(図
示せず)に信号を送り、それを上昇して、処理シーケン
スの開始準備のために処理室を閉める。
制御システム206は、どんな構成の真空処理装置が使
われる場合でも、実施される処理作業の何れでも制御す
るようにプログラムできる。制御システム206は、真空
処理装置の構成に応じ、幾つかの方法の1つによって所
望のウェハ温度を設定可能である。真空処理装置が抵抗
加熱される基板を用いる例では、加熱基板温度センサ72
0からの温度情報が制御システム206に与えられ、制御シ
ステム206が制御信号を加熱基板温度制御器724に与え、
これが加熱基板電源725を制御する。別の実施例では、
制御システムが放射加熱ランプ電源制御器721に入力を
与え、この制御器721は、電力の量と、ランプ電源722か
ら放射加熱ランプに入力される電力の変化速度とを制御
する。更に別の実施例では、制御システム206が熱交換
制御弁723に入力を与え、これが基板への冷却水の流量
を制御する。更に、マイクロ波プラズマを用いる場合に
は、制御システムは、マイクロ波プラズマ温度センサ72
6からマイクロ波プラズマ温度を受け取り、マイクロ波
プラズマ電源制御器727に制御信号を送って、これがマ
イクロ波プラズマ電源を728を制御し適切なプラズマ温
度を達成する。
ほとんど全ての処理において、所望の結果を達成する
のに1つ以上の処理ガスが使われる。制御システム206
がマニホルド弁制御器729に信号を送り、この制御器729
は、マニホルド弁730のうちどれが使われか、従ってど
のガス及び如何なる大きさの流量が各弁を通って流され
るかを制御する。
幾つかの実施例では、ウェハの処理を高めるためにそ
の場での紫外線エネルギーが与えられる。UV(ここでUV
は紫外光を定義するものとして使う)室のインピーダン
スとの整合のため、制御システム206がUV同調器731に信
号を与える。更に、制御システムはUV電源制御器732に
も信号を送り、これがUV発信器電源733を調整する。
一部の実施例では、ウェハ48の面に向けて帯電粒子を
加速するのに、真空処理装置が低電力の無線周波数エネ
ルギーを用いる。制御システム206が無線周波数同調器7
34に入力を与え、その結果UV送信器のインピーダンスが
処理室内のRF(ここでRFは無線周波数を定義するものと
して使われる)のインピーダンスと整合可能になる。
処理室内にプラズマを発生したり、その中の基板を加
熱するのにRFエネルギーが使われるときには、無線周波
数温度センサ735は処理室内のRF電極の温度に対応した
信号を制御システム206に与える。すると制御システム
がRF電源制御器736に信号を与え、これが送信器の出力
電力を調整するRF電源737に信号を与えて適切なRF電極
温度を達成する。
処理が完了すると、制御システムが該当のマニホルド
弁730を閉じ、前記した該当の電源を停止する。
所望なら、処理の完了時、制御システム206が前述し
たように処理室の浄化サイクルを開始する。この浄化サ
イクルは固定の時間、あるいは処理室粒子カウンタ208
が所定時間の間0粒子を指示するまで続けられる。
次いで制御システム206は窒素浄化弁713を閉じ、そし
て装填ロックと処理室との間の真空差を制御システムで
モニターしながら、ポンプ排気処理が継続される。処理
室真空センサと装填ロック真空センサから制御システム
206への入力信号とが両室間の真空差が所定の量より小
さいことを指示すると、制御システムは信号を送り、ベ
ローズを下動させることによって処理室を開く。処理室
が開いた後、制御システム206は移送アーム制御器709に
信号を送り、ウェハ48を処理室から回収してそれを真空
ウェハキャリヤに戻す。
つまり、移送アーム制御器709は移送アーム28を、そ
のホーム位置から隔離ゲートを介し処理室内のウェハ48
下方の地点へと水平に移動させる。ウェハ移送アームセ
ンサ710は、ウェハ48に接近したことを検知すると、制
御システムに信号を与える。この信号の受信後、移送ア
ーム28が垂直に上昇し、ウェハ48をテーパ状ピン53から
持ち上げる。次いで移送アーム28は隔離ゲート31を通
り、真空装填ロック室12内に移動する。その後移送アー
ム制御器709は、移送アーム28を、当初そこからウェハ
が取り出されたスロットの垂直位置へと垂直に上下動す
る。
移送アーム28は、適切な垂直位置にくると、真空ウェ
ハキャリヤ10内へと水平に移動する。この時点で、ウェ
ハ48は該ウェハを真空ウェハキャリヤ10内に支持すべき
突起60のすぐ上方に位置する。次いで、移送アーム制御
器709は、移送アーム28に指示してウェハを突起60上に
載置可能な地点へと垂直に下降させる。移送アーム28は
下降を続け、ウェハ48下方の所定位置で停止する。その
後、制御システムがウェハ移送アームセンサ710をサン
プリングし、移送アーム28に近接したウェハが他に存在
しないかどうかを調べる。存在しなければ、移送アーム
は、真空ウェハキャリヤを出てそのホーム位置へと水平
に移動される。その後、移送アームは、真空ウェハキャ
リヤ内の他の任意のウェハへと移動し、そのウェハキャ
リヤから該ウェハを取り出し、処理し、交換するプロセ
スを開始可能である。この進行動作は、制御システム20
6によりどのウェハについても、キャリヤ内でのそれら
の位置に係わりなく、プログラムの要求に応じて繰り返
すことができる。
任意選択の実施例では、高真空であって、且つ、低湿
度を必要とする処理の場合、真空処理装置は低温真空ポ
ンプを使える。これらの低温真空ポンプは、前述したタ
ーボ分子ポンプの場合と同じ方法で使われる。この点に
関連した制御器は、第31図に、装填ロック制御器737及
び処理室低温ポンプ制御器738として示してある。これ
らの制御器が、装填ロック低温ポンプ隔離弁739と処理
室低温ポンプ隔離弁740をそれぞれ制御する。低温ポン
プは、室内に存在するガスから水分を除去するのに使わ
れる。これは、HgCdTeに関連した処理に対して有用であ
る。
全てのウェハの処理が完了し、真空ウェハキャリヤ内
に戻されると、制御システムは、真空ウェハドアモータ
707に信号を送り、ドア14を閉じる。次いで制御システ
ム206は真空ウェハキャリヤドアセンサ708をチェック
し、ドア14が実際に閉じていることを検証する。その後
制御システムは、装填ロック粗引きポンプ隔離弁702、
装填ロックターボ分子ポンプ隔離弁717、または装填ロ
ック低温ポンプ隔離弁739を、それぞれに対応した装填
ロック制御器701、706、737を用いて閉じる。更に制御
システムは、処理室粗引きポンプ隔離弁、処理室ターボ
分子ポンプ隔離弁、または低温ポンプ隔離弁を、それぞ
れに該当する処理室制御器711、716、738を用いて閉じ
る。また、隔離ゲート31も閉じる。次いで、制御システ
ムは通気弁741を開ける信号を送り、この通気弁741は、
装填ロック室12及び処理室を大気圧に戻す。その後蓋20
は、装填ロックを開け、そして真空ウェハキャリヤ10を
取り出す。
第32図を参照すると、処理モジュール1300が示してあ
る。この処理モジュールは遠隔及び近接プラズマ(in s
itu plasma)を有する。ウェハキャリヤ10、(アーム28
と同様な)アーム及び室12が、ウェハ48をキャリヤ10か
ら処理モジュール1300へと移送するのに使われる。処理
モジュール1300は、ガス分配器1302を頂部処理室1304の
上部に位置するガス分配リング1304に取り付けた状態
で、示してある。ガス分配器1302は、リング304を介し
て近接プラズマ用のガスを供給する。リング1304は室13
06の垂直軸を中心に配置されている。ガスは、リング13
04底部の複数の開口1310を通じてリング1304から出る。
室1306の垂直壁は、水晶で作成できていて室1306の垂直
軸を中心に円筒を形成する。室1306の底に電極1312が位
置する。(第32図に示したように)閉状態にある室1306
の頂部に電極1314が位置する。例えば、周囲温度を25℃
に維持するため、所望なら電極1314用の熱交換器(図示
せず)を設けることもできる。
室1306はベローズ1316によって開閉される。ベローズ
1316は、室1306の垂直壁を上方に移動して、電極1314ま
たはモジュール1300の隣接部に接触させる。室1306の垂
直壁が接触する箇所に、シール(図示せず)を設けるこ
ともできる。つまり、ベローズが室1306を上昇して該室
を閉じ、下降して室を開く。開位置で、アームは、ウェ
ハ48をキャリヤ10から室12を介し、室1306内の指状部つ
まりピン1320上に移送可能である。これらの指状部1302
は指状部214(第12図)及びピン53(第3図)と同様で
ある。室1306が閉じられると、指状部1320は上昇し、ウ
ェハ48を電極1314との接触状態に置く。
遠隔プラズマは管1322を通る垂直軸に沿って室1306の
底に供給される。管1322は、遠隔プラズマ発生器1326か
ら、電極1312を貫き室1306内へと延びている。管1322は
電極1312との間にスリップ嵌合せ1328を有し、電極1312
を含めた室1306の垂直移動を許容する。電極1312の下側
には、ポンプ1332及び弁1334に接続された室1330が位置
する。これにより、室1306及び1330を通るほぼ下向きの
ガス流が与えられる。近接プラズマ(in situ plasma)
は、両電極1312と1314との間に適切な電圧を印加するこ
とによって与えられる。電圧は、室1306内のガスを所望
に励起するRF電圧とする。ポンプ1332及び弁1334が、室
1306内に所望の真空を与える。これにより、発生器1326
からの遠隔プラズマと室1306内で発生される近接プラズ
マ(in situ plasma)とが、ウェハ面54に協働作用す
る。ガス分配器1302も、電極1312に対してスリップ嵌合
せを有する。ガス分配器1302は室1306の垂直壁に沿って
延びている。処理モジュール1300は各種の処理を行え
る。
遠隔及び近接両プラズマを用い、処理モジュール1300
により好首尾な結果で実施された一処理は、シリコンド
ープアルミ、例えば1%のシリコンがドープされたアル
ミのエッチングである。マイクロ波とRFの組合せエッチ
ングにより、個々のエッチング速度の和の2倍以上に高
められた共働によるエッチング速度が次の条件下で得ら
れた。ガス流は、BCl380sccmと、Cl220sccmと、He1000s
ccm、総圧力1Torr、周波数13.56MHzの(ウェハの面近く
にプラズマを発生するのに印加された)225W RF電力及
び周波数2450MHzの400Wマイクロ波電力であった。使用
温度は、約25℃の周囲温度であった。流れは使用した特
定の条件に最適化されなかったので、この結果は非常に
高いわけではないエッチング速度に基づき得られたもの
であるが、それでも2つの効果を組み合わせた共働の利
点を示している。混合ガスは全て管1322から室11306内
へ導入してもよいし、あるいは上記しなかったその他の
ガスを含む混合ガスの一部をリング1304を介して導入し
てもよい。更に、炭化水素源、例えばメタンをリング13
04を介し導入してもよく、またはメタンを遠隔発生され
るプラズマの一部としてもよい。
処理モジュール1300で行える別の処理は、ポリシリコ
ンの被着である。不活性ガス及びシリコン源、例えばSi
H4及び/又はSi2H6から成る混合ガスは、遠隔プラズマ
及び近接プラズマ(in situ plasma)で使われ、遠隔及
び近接両プラズマを別々に用いた場合の速度の和を上回
る改善された被着速度を生じる。一例として、RF電力は
処理室内において13.56MHz、100ワットで、遠隔プラズ
マ発生器は2450MHz、400ワットで動作する。ガスはヘリ
ウム1000sccmとSiH450sccmである。アルゴンも使用可能
な不活性ガスの別の例である。圧力は1Torr、温度は25
℃とし得る。SiH4はリング1304を介して処理室内に導入
され、残りのガスは発生器1326を通過する。これらの結
果は使用する特定の条件に最適化されずに得られたもの
であるが、それでも2つの効果を組み合わせた共働の利
点を示している。表面の損傷は、圧力を1Torr以上に高
めることによって減少できる。つまりこの処理は、遠隔
及び近接両プラズマ間での共働効果によって改善された
結果をもたらす。被着速度を改善しつつ、表面の損傷が
最小限化される。遠隔及び近接プラズマ(in situ plas
ma)は別々に制御できる。この処理はシリコン、GaAs及
びHgCdTe基板に対して使える。
処理モジュール1300で行える別の処理は、酸化シリコ
ンの被着である。ヘリウム、O2及びSiH4から成る混合ガ
スは、遠隔プラズマ及び近接プラズマ(in situ plasm
a)で使われ、遠隔及び近接両プラズマを別々に用いた
場合の速度の和を上回る改善された被着速度を生じる。
一例として、RF電力は処理室内において13.56MHz、100
ワットで、遠隔プラズマ発生器は2450MHz、400ワットで
動作する。ガスはヘリウム1000sccm、O2100sccm及びSiH
450sccmである。圧力は1Torr、温度は25℃とし得る。Si
H4はリング1304を介して処理室内に導入され、残りのガ
スは発生器1326を通過する。表面の損傷は、圧力を1Tor
r以上に高めることによって減少できる。温度は、25〜4
00℃の範囲とし得る。この処理は、遠隔及び近接両プラ
ズマ間での共働効果によって改善された結果をもたら
す。つまり、これらの結果は使用する特定の条件に最適
化されずに得られたものであるが、それでも2つの効果
を組み合わせた共働の利点を示している。被着速度を改
善しつつ、表面の損傷が最小限化される。遠隔及び近接
プラズマ(in situ plasma)は別々に制御できる。この
処理はシリコン、GaAs及びHgCdTe基板に対して使える。
処理モジュール1300で行える別の処理は、窒化シリコ
ンの被着である。ヘリウム、N2とNH3との群の中の1つ
及びSiH4またはSiH4Cl2の群の中の1つから成る混合ガ
スは遠隔プラズマ及び近接プラズマ(in situ plasma)
で使われ、遠隔及び近接両プラズマを別々に用いた場合
の速度の和を上回る改善された被着速度を生じる。一例
として、RF電力は処理室内において、13.56MHz、100ワ
ットで、遠隔プラズマ発生器は2450MHz、400ワットで動
作する。使用ガスはヘリウム1000sccm、N2とNH3の群の
中の1つ100sccm、及びSiH4またはSiH2Cl2の群の中の1
つ50sccmである。圧力は1Torr、温度は25℃とし得る。S
iH4またはSiH2Cl2はリング1304を介して処理室内に導入
され、残りのガスは発生器1326を通過する。表面の損傷
は、圧力を1Torr以上に高めることによって減少でき
る。温度は、25〜400℃の範囲とし得る。この処理は、
遠隔及び近接両プラズマ間での共働効果によって改善さ
れた結果をもたらす。つまり、これらの結果は使用する
特定の条件に最適化されずに得られたものであるが、そ
れでも2つの効果を組み合わせた共働の利点を示してい
る。被着速度を改善しつつ、表面の損傷が最小限化され
る。遠隔及び近接プラズマは別々に制御できる。この処
理はシリコン、GaAs及びHgCdTe基板に対して使える。
処理モジュール1300で行える別の処理は、GaAsのエッ
チングである。ヘリウム、CH4及びCF4またはN2の群の中
の1つから成る混合ガスは、遠隔プラズマ及び近接プラ
ズマ(in situ plasma)で使われ、遠隔及び近接両プラ
ズマを別々に用いた場合の速度の和を上回る改善された
エッチング速度を生じる。一例として、RF電力は処理室
内において、13.56MHz、100ワットで、遠隔プラズマ発
生器は2450MHz、400ワットで動作する。使用ガスはヘリ
ウム1000sccm、CH4250sccm、及びCF4またはN2の群の中
の1つ100sccmである。圧力は1Torr、温度は25℃とし得
る。CH4はリング1304を介して処理室内に導入され、そ
して残りのガスは発生器1326を通過する。この処理は、
遠隔及び近接両プラズマ間での共働効果によって改善さ
れた結果をもたらす。つまり、これらの結果は使用する
特定の条件に最適化されずに得られたものであるが、そ
れでも2つの効果を組み合わせた共働の利点を示してい
る。エッチング速度を改善しつつ、表面の損傷が最小限
化される。遠隔及び近接プラズマ(in situ plasma)は
別々に制御できる。得られるエッチングは部分的に異方
性である。異方性のレベルはRFプラズマ及びマイクロ波
の相対的電力レベル、並びに圧力によって制御可能であ
る。
処理モジュール1300で行える別の処理は、ウェハの少
なくとも一部を形成するZnSまたはHgCdTeのエッチング
である。ヘリウム等の不活性ガスと原子フッ素源との混
合ガスが、遠隔プラズマを発生するのに使われる。近接
プラズマ(in situ plasma)は、少なくとも遠隔プラズ
マの生成物とアルキル基を含む種から発生される。遠隔
プラズマと近接プラズマ(in situ plasma)を発生する
のに使われる各電力は、エッチング速度改善のために別
々に制御される。遠隔及び近接両プラズマは、それぞれ
別々に用いた場合の速度の和を上回る改善されたエッチ
ング速度を生じる。遠隔プラズマと組み合わせて近接プ
ラズマ(in situ plasma)を発生するのに比較的低いRF
電力が使われ、比較的高いエッチング速度で部分的に異
方性のエッチングを与える。遠隔プラズマ及び近接プラ
ズマ(in situ plasma)は別々に制御できるので、改善
された輪郭(プロフィル)制御とエッチングの選択性と
を達成できる。その場で(in situ)のデスカムはエッ
チングの前に、エッチング後の灰化は酸素源から形成さ
れた遠隔プラズマを用いてそれぞれ行える。アルキル基
を含む種は、例えばメタン、エタン、フッ化メチル、塩
化メチル、ヨウ化メチル、または臭化メチルとし得る。
原子フッ素源は、例えばフッ素、CF4、SF6、NF3、C2F6
またはプラズマの存在下でフッ素原子を放出するその他
任意のガス状フッ素化合物とし得る。使用電力は、例え
ばRFで250ワット以下、MWで400ワットとし得る。流量
は、CF4100sccm、CH4125sccm、及びヘリウム1000sccmと
し得る。圧力は例えば0.8Torrとし得る。被着速度を改
善しつつ、表面の損傷が最小限化される。遠隔及び近接
プラズマ(in situ plasma)は別々に制御できる。得ら
れるエッチングは部分的に異方性である。異方性のレベ
ルはRFプラズマ及びマイクロ波の相対的電力レベル、並
びに圧力によって制御可能である。
処理モジュール1300で行える別の処理は、フォトレジ
ストの灰化である。酸素と、灰化強化ガス、例えばC
F4、CHF3、H2、H2O、HCl、HBr、Cl2及びN2Oの群の中の
1つ以上とから成る混合ガスは、遠隔プラズマ及び近接
プラズマ(in situ plasma)で使われ、遠隔及び近接両
プラズマを別々に用いた場合の速度の和を上回る改善さ
れた灰化速度を生じる。一例として、RF電力は処理室内
において、13.56MHz、225ワットで、遠隔プラズマ発生
器は2450MHz、400ワットで動作する。使用ガスはCF443s
ccm及び酸素996sccmとした。圧力は0.63Torr、温度は25
℃とし得る。ガスは全て、遠隔プラズマ発生器1326を通
過させることができる。この処理は、遠隔及び近接両プ
ラズマ間での共働効果によって改善された結果をもたら
す。つまり、これらの結果は使用する特定の条件に最適
化されずに得られたものであるが、それでも2つの効果
を組み合わせた共働の利点を示している、エッチング速
度を改善しつつ、表面の損傷が最小限化される。遠隔及
び近接プラズマは別々に制御できる。得られる灰化は部
分的に異方性である。異方性のレベルはRFプラズマ及び
マイクロ波の相対的電力レベル、並びに圧力によって制
御可能である。
処理モジュール1300で行える別の処理は、窒化シリコ
ンのエッチングである。フッ素及びヘリウム源は、遠隔
プラズマ及び近接プラズマ(in situ plasma)で使わ
れ、遠隔及び近接両プラズマを別々に用いた場合の和を
上回る改善されたエッチ速度を生じた。一例として、RF
電力は処理室内において13.56MHz、255ワットで、遠隔
プラズマ発生器は2450MHz、400ワットで動作する。使用
ガスはフッ素源、例えばCF4200sccm、ヘリウム1000sccm
とした。その他のフッ素源は、それぞれ単独またはCF4
と任意に組み合わされたF2、CHF3、C2F6、SF6、NF3が可
能である。圧力は0.7Torr、温度は25℃とし得る。この
処理は、遠隔及び近接両プラズマ間での共働効果によっ
て改善された結果をもたらす。つまり、これらの結果は
使用する特定の条件に最適化されずに得られたものであ
るが、それでも2つの効果を組み合わせた共働の利点を
示している。エッチング速度を改善しつつ、表面の損傷
が最小限化される。遠隔及び近接プラズマ(in situ pl
asma)は別々に制御できる。得られるエッチングは部分
的に異方性である。異方性のレベルはRFプラズマ及びマ
イクロ波の相対的電力レベル、並びに圧力によって制御
可能である。
処理モジュール1300で行える別の処理は、ポリシリコ
ンのエッチングである。フッ素及びヘリウム源は、遠隔
プラズマ及び近接プラズマ(in situ plasma)で使わ
れ、遠隔及び近接両プラズマを別々に用いた場合の和を
上回る改善されたエッチング速度を生じた。一例とし
て、RF電力は処理室内において、13.56MHz、255ワット
で、遠隔プラズマ発生器は2450MHz、400ワットで動作す
る。使用ガスはフッ素源、例えばCF4200sccm及びヘリウ
ム1000sccmとした。その他のフッ素源は、それぞれ単独
またはCF4と任意に組み合わされたF2、CHF3、C2F6、S
F6、F3が可能である。圧力は0.7Torr、温度は25℃とし
得る。この処理は、遠隔及び近接両プラズマ間での共働
効果によって改善された結果をもたらす。つまり、これ
らの結果は使用する特定の条件に最適化されずに得られ
たものであるが、それでも2つの効果を組み合わせた共
働の利点を示している。エッチング速度を改善しつつ、
表面の損傷が最小限化される。遠隔及び近接プラズマ
(in situ plasma)は別々に制御できる。得られるエッ
チングは部分的に異方性である。異方性のレベルはRFプ
ラズマ及びマイクロ波の相対的電力レベル、並びに圧力
によって制御可能である。
遠隔及び近接プラズマ(in situ plasma)を利用した
その他の処理は、銅をドープしたアルミ膜のエッチング
である。この処理は、例えばモジュール1300または第24
図のモジュール680で実行される。例えばCl2、CCl4また
はSiCl4とし得る塩素源と、例えばCH4、BCl3とし得る炭
化水素源が使われる。炭化水素は省けるが、ライン巾の
損失が生じる。一例として、処理室内の電極に印加され
るRF電力は13.56MHz、約250ワットとできる。遠隔プラ
ズマ発生器には、周波数2450MHz、400ワットの電力を供
給できる。処理室、例えば処理室1306(第32図)の圧力
は0.15Torrとし得る。処理室内の温度は周囲温度、例え
ば約25℃とし得る。使用ガスは、BCl380sccm、Cl2(塩
素)10sccm及び炭化水素、例えばCH4(メタン)5sccmと
し得る。これらの結果は使用する特定の条件に最適化さ
れずに得られたものであるが、それでも2つの効果を組
み合わせた共働の利点を示している。ガス分配器1302及
び管1322からのガスは、所望に応じ同種またし異種とで
きる。この処理は、得られるエッチング表面における残
留物、例えば塩化銅を減少可能とする。エッチングは、
遠隔及び近接両プラズマを用いることによって強化され
る。これは、表面の損傷を減じ、そしてフォトレジスト
の完全性を維持するより低いRF電力の使用を可能とす
る。圧力は1Torr強から1Torr弱の間とすべきである。
別の有用な処理は、酸化シリコンに対する選択性と所
望の異方性を達成するためのタングステン材料(層)の
オーバエッチングである。例えばCF4、C2F6、HF、F2、N
F3またはSF6とし得るフッ素源と、例えばCH4とし得る炭
化水素源と、HBrとが使われる。炭化水素及びHBrは省け
るが、両用存在する方が改善されたエッチングが得られ
る。炭化水素は、エッチング中に側壁の不活性化を行
い、ライン巾の損失を減少させる。一例として、まず、
タングステン層の大部分を、例えばここで論じるタング
ステン処理の一つを用いてエッチングする。この工程
後、一例として次の条件下で、遠4隔及び近接プラズマ
(in situ plasma)用いてエッチングを継続する。RF電
力は処理室内において適切な周波数で50ワットとし、遠
隔プラズマ発生器は400ワットで動作する。使用ガスは
フッ素源、例えばSF640sccm、臭素源、例えばHBr40scc
m、及び炭化水素源、例えばCH4(メタン)5sccmとし得
る。圧力は0.13Torr、温度は25℃とし得る。この処理
は、酸化シリコンとフォトレジストに対する選択性を高
める遠隔及び近接両プラズマ間での共働効果によって改
善された結果をもたらす。またエッチングは、プラズマ
発生中にマイクロ波(MW)と無線周波数(RF)両電力の
別々の調整を可能とすることによって改善される。圧力
は約0.1Torrから5Torrの間とすべきである。
炭化水素と臭素源との組合せが、フッ素ベースのエッ
チングに対して非常に強力な不活性化学作用を与えるこ
とが見いだされた。例えば、好首尾な結果で実証された
一実施例は次の通りである。すなわち、最初の構造はタ
ングステンの薄膜を含んでいた。初期のガス流は、SF65
0sccm、CH45sccm及びHBr15sccmを含み、総圧力250ミリT
orr、印加RF電力レベル500ワットとした。パターンが明
らかになり始めた後、後で詳述するようにWF620sccmを
付加した。こうして得られた構造はほぼ垂直なエッチン
グ壁、ほんのわずかなライン巾腐食、及びレジストに対
する優れた選択性を示した。
CH4の比率及び臭素源の比率を増せば、より一層堅固
な不活性化作用を達成できる。例えば、下記の条件が零
のライン巾腐食を生じることが判明した。すなわち、SF
640sccm、CH415sccm及びHBr25sccmで、総圧力470ミリTo
rr及び印加RF電力レベル400ワット。比較的高い総圧力
の使用が、一様性の維持を助ける。
不活性化被着の速度を更に速めると、負のエッチング
バイアスが達成可能である。見本の実施例として、タン
グステンの薄膜を次の初期ガス流を用いてエッチングし
た。すなわち、SF650sccm、CH418sccm及びHBr25sccm
で、総圧力470ミリTorr及び印加RF電力レベル400ワッ
ト。使用したレジストパターンは、2.7ミクロン(1.7ミ
クロンの最小ライン巾と1ミクロンの最小スペース巾)
の最小ピッチを有していた。この化学作用の使用は、最
終的に0.6〜0.7ミクロンのエッチングスペース巾を生じ
ることが判明した。つまり、この化学作用は約0.15〜0.
2ミクロンの“負のエッチングバイアス”を与えた。上
限として、他の条件を変えずにメタンの流量を21sccmに
増すと、エッチングが完全に停止、すなわちタングステ
ンのエッチング速度が零になることが、他の実験で実証
されている。
またこの種類の不活性化化学作用は、強い異方性のシ
リコンエッチングを与えることも発見された。実験によ
り好首尾な結果が実証された特定の一実例においては、
次のようなエッチング化学作用を用いた。すなわち、初
期のガス流はSF650sccm、CH45sccm及びHBr15sccmで、総
圧力250ミリTorr、印加RF電力レベル500ワットであっ
た。
これらの条件はシリコンを25秒間で深さ3ミクロンエ
ッチングし、レジストに対する優れた選択性を維持しな
がら、ほゞ垂直のシリコン側壁をもたらした。但し、こ
れらのエッチング条件は特に酸化物に対しては選択性を
示さなかった。つまり、このエッチング化学作用はトレ
ンチをエッチングするのに特に有効である。デバイス構
造におけるトレンチの利点は以前から認識されていた
が、通常トレンチは、遅く、且つ、トレンチの底の凹
凸、溝切りまたは逆行曲り等エッチングによる極めて望
ましくない人為構造を生じ易い低圧力のエッチング条件
で作製されていた。こうした低圧力処理の困難を避けら
れるのも利点てある。
別の種類のフッ素エッチング用の化学作用では、SF6
等のフッ素減と、HBr等の臭素減と、非常に弱い酸素減
(例えば一酸化炭素)とを含む供給混合ガスを用いる。
この化学作用は、フォトレジストに対する良好な選択性
を示しながら、異方性の高速フッ素エッチングを与え
る。
好首尾な結果で実証された処理の実例を次に示す。最
初の構造は、形成有機フォトレジストのパターン化層に
よって被覆されたタングステンの薄膜を含んでいた。初
期のガス流はSF625sccm、HBr25sccm及びCO40sccmで含
み、総圧力300ミリTorr、印加RF電力レベル175ワットで
あった。オーバエッチング期間中は、WF620sccmの追加
流を有効に加えた。こうして得られた構造は、急勾配傾
斜の側壁、ほんのわずかなライン巾侵食、及びフォトレ
ジストに対する約2対1の選択性を示した。
この化学作用は、一酸化炭素の代りに弱い酸素減を用
いることによって変更できる。つまり、N4OやCO2等の弱
い酸素減が代りに使える。事実、COの代わりに極めて小
流量(1sccm以下)のO2を用いるという利点を得ること
もできるが、このような非常に小さい流量を従来の半導
体製造装置で再現性よく制御するのは困難である。
別の種類のフッ素エッチング用の化学作用では、フッ
素減(SF6等)と、フルオロシラン(SiF4等)と、臭素
減(HBr等)と、一酸化炭素等の弱い酸素減を含む供給
混合ガスを用いる。この化学作用は、フォトレジストに
対して良好な選択性を示した、異方性の高速フッ素エッ
チングを与える。
好首尾な結果で実証された処理の実例を次に示す最初
の構造は、有機フォトレジスト材料のパターン化層によ
って被覆されたタングステンの薄膜を含んでいた。初期
のガス流はSiF425sccm、SF625sccm、HBr25sccm及びCO30
sccmで含み、層圧力350ミリTorr、印加RF電力レベル175
ワットであった。オーバエッチング期間中はレジストの
侵食を避けるため、WF630sccmの追加流を上記のガス流
に加えた。こうして得られた構造は、ほゞ垂直のエッチ
ング側壁、ほんのわずかなライン巾侵食、及びフォトレ
ジストに対する約3対1の選択性を示した。
処理モジュール1300で行うのに適した別の処理は、低
圧力での窒化シリコンのエッチングである。このエッチ
ングでは、100sccmで流れるSF6と5000sccmで流れるHeか
ら成る遠隔プラズマ用の混合ガスを用いる。基板は25℃
の温度を有する。RFプラズマは発生しなかった。窒化シ
リコンのエッチング速度は、毎分37オングストロームで
あった。二酸化シリコンはエッチングされないことが認
められた。追加のフッ素減としては、F2、CF4またはC2F
6等を使える。これらの追加減が、エッチングの酸化シ
リコンに対する選択性を減少させることがある。エッチ
ング速度は、RF近接プラズマ(in situ plasma)を追加
使用することによって高められる。この処理は、GaAs及
びHgCdTe処理でも有用である。
別の処理では、上記タングステンエッチングの1つで
タングステン膜のほとんどをエッチングした後、遠隔及
び近接両プラズマを用いることによって、二酸化シリコ
ン及びフォトレジストに対して異方性且つ選択性のエッ
チングを与えるのに本処理が使われる。使用した混合ガ
スはSF640sccm、HBr13sccm、及び炭化水素、例えばCH4
(メタン)5sccmから成る。使用圧力及び温度はそれぞ
れ0.13Torr及び25℃(周囲温度)であった。近接及び遠
隔プラズマを発生するのに用いたRF及びMW電力はそれぞ
れ、40、400ワットであった。近接及び遠隔プラズマ
は、選択性及び異方性を含めたエッチング特性を改善す
る共働効果を生じる。またこれは、近接及び遠隔プラズ
マ発生の別々の制御でを含む。
第33図は、ドア14が開いた状態のウェハキャリヤ10を
示す。移送アーム28が、キャリヤ10と台1500との間でウ
ェハ48を移送するものとして示してある。アーム28は第
1、3及び4図に関連して前述したように作用する。ア
ーム28は、室12と同様な装填ロック室1502内に位置す
る。台1500は、その底辺に沿ってヒンジ止めされ、垂直
位置から第33図に示した水平位置へと回転可能である。
台は室1502と共にシールを形成する。これは、ポンプ15
04によって室1502内に真空を形成可能とする。あるい
は、ドアまたは隔離ゲート(図示せず)は、アームが台
1500へ延びるように、室1502を介して密閉可能な開口を
設けるように含まれている。真空下でウェハを含むキャ
リヤ10は、室1502内に置かれる。室1502はポンプ1504に
よって所望の真空にポンプ排気される。室1502内の粒子
をモニターするのに、カウンタ850と同様な粒子カウン
タを使用できる。第11及び31図を含む各図を参照して論
じたように、所望の粒子条件が得られるまで、ドア14は
開かない。所望なら、浄化を行える。所望の真空が確立
されると、ドア14が開かれる。次いで、きれいなガス、
例えばN2(窒素)を導入することによって、室1502が周
囲圧力に通気される。台、ドア、または遠隔ゲートが開
かれる。これでアーム28は、ウェハ48下方でキャリヤ10
内へと到達可能となる。アームはわずかに上昇されてウ
ェハを持ち上げる。この状態が第33図の最左位置であ
る。次いでアームが、室1502の開口1510を介して外へ移
動される。ウェハ48は、3本のピン50(そのうち2本だ
けが第33図に示してある)の外周49に接触する。ウェハ
48は面54を有し、その内部及び/又は上面にデバイスつ
まり集積回路が構成されている。第33図の最右位置にお
いて、アーム28は台1500上に位置して示してある。台15
00は、第1、3及び4図のピン53と同様な3本のピン15
12(そのうち2本だけが第33図に示してある)を有す
る。アームはわずかに下降されてウェハ48をピン1512上
に置く。
次いでウェハ48は、別の移送機構1520によって取り出
しできる。移送機構1520はアーム28と同様な別の移送ア
ーム、あるいはその他の適切な機構とし得る。ウェハは
全て、1回に1ウェハづつ台1500へと移送可能である。
1つの方法として、1枚のウェハは、機構1520によって
移送された非真空処理システム(図示せず)内で処理し
た後、台1500へ、更にキャリヤ10へと戻すことができ
る。その後、次のウェハをキャリヤ10から台1500に移送
できる。キャリヤ10を閉じたいときには、台、ドア、ま
たは遠隔ゲートを閉じる必要がある。つまり室1502を真
空にし、そしてガス例えばN2を用いて室が再び浄化され
る。特定のカウンタがコンピュータ制御システム206に
よってモニター可能であり、そして所望の条件が満たさ
れた後ドア14は閉じられる。ウェハはアーム28により、
前述のごとく下向きにして移送できる。コンピュータ制
御システム206(第10および31図)は、アーム28と室150
2に必要な制御を与える。
第34図に示した全体構成は、第33図と同様である。但
しウェハ、例えばウェハ48は台上に置かれず、その代わ
りにアーム28によって非真空キャリヤ1540内に置かれ
る。1枚以上のウェハ(または全て)をキャリヤ1540内
に置くことができる。キャリヤ1540は、例えば室1502か
ら延びた支持体上に位置する。ロボットアームとし得る
移送機構1542が、手1544及びつめ1546を有する。このつ
め1546は、キャリヤ1540を把持して、例えばフォトリソ
グラフィ用の非真空処理装置(図示せず)へと移動させ
る。またキャリヤ1540は、、他の手段例えば手操作で移
動及び交換することもできる。ポンプ排気のシーケンス
及び全体の動作については、第33図に関連して前述し
た。
第35及び36図の移送機構は、第33及び第34図に示した
ものとそれぞれほぼ同様である。ドア14が開いた状態の
ウェハキャリヤ10を示してある。アーム28からウェハ48
を受け取るものとして示してある。アーム28は第1、3
及び4図に関連して前述したように作用する。アーム28
は、(第1、3及び4図に示した)室12と同様な装填ロ
ック室1602内に位置する。第1600は第33図に示した台15
00と同様であって、その底辺に沿って垂直位置から第35
図に示した水平位置へ回転可能である。この台は室1602
と共にシールを形成する。これが、ポンプ1604によって
室1602内に真空を形成可能とする。あるいは、ドアまた
は遠隔ゲート(図示せず)は、アームが台1600へ延びる
ように、室1602を通る密閉可能な開口を設けるように含
まれている。真空下でウェハを含むキャリヤ10は、室16
02内に置かれる。室1602はポンプ1604によって所望の真
空にポンプ排気される。室1602内の粒子をモニターする
のに、カウンタ850と同様な粒子カウンタを使用でき
る。第11及び31図を含む各図を参照して論じたように、
所望の粒子条件が得られるまで、ドア14は開かない。所
望の真空が確立されると、ドア14が開かれる。次いで、
室12及び処理モジュールに関連して前述したように、き
れいなガス、例えばN2(窒素)を導入することによっ
て、室1602の浄化を行うことができる。台、ドア、また
は隔離ゲートが開かれる。これでアーム28は、ウェハ48
下方でキャリヤ10内へと到達可能となる。アームはわず
かに上昇されてウェハを持ち上げる。この状態が第35図
の最左位置である。次いでアームが、室1602の開口1610
を介して外へ移動される。ウェハ48は、3本のピン50
(そのうち2本だけが第35図に示してある)上に載置さ
れる。第25図の最右位置において、アーム28は台1600上
に位置して示してある。台1600は、第1、3及び4図の
ピン53と同様な3本のピン1612(そのうち2本だけが第
35図に示してある)を有する。アームはわずかに下降さ
れてウェハ48をピン1612上に置く。
次いでウェハ48は、真空エンクロージヤ1621内に配置
された別の移送機構1620によって取り出しできる。この
エンクロージャ1621は、同じ形状、移送及び閉じ込め機
構を基本的に有し、ここに図示した標準的なモジュール
と同じでない。移送機構1620は、アーム28と同様な別の
移送アーム、あるいはその他の適切な機構とし得る。ウ
ェハは全て、1回に1ウェハづつ台1600へと移送可能で
ある。1つの方法として、1枚のウェハは、機構1620に
よって移送された真空下の非標準的な処理システム(客
1621を除き示していない)内で処理した後、台1600へ、
更にキャリヤ10へと戻すことができる。その後、次のウ
ェハをキャリヤ10から台1600に移送できる。キャリヤ10
を閉じたいときには、台、ドア、または隔離ゲートを閉
じる必要がある。つまり室1602を真空にし、ガス例えば
N2を用いて室が再び浄化される。特定のカウンタは、コ
ンピュータ制御システム206によってモニター可能であ
って、所望の条件が満たされた後ドア14は閉じられる。
ウェハはアーム28により、前述のごとく下向きにして移
送できる。コンピュータ制御システム206(第10及び31
図)は、アーム28及び室1502に必要な制御を与える。
第36図に示した全体構成は、第35図と同様である。但
しウェハ、例えばウェハ48は台上に置かれず、その代わ
りにアーム28によって密閉可能でないキャリヤ1640内に
置かれる。1枚以上のウェハ(または全て)をキャリヤ
1640内に置くことができる。移送機構1642が手1644及び
つめ1646を有する。このつめ1646は、キャリヤ1640を把
持して、ここに図示した標準型のモジュールでない処理
装置へと移動させる。またキャリヤ1640は、他の手段、
例えば手操作で移動及び交換することもできる。ポンプ
排気のシーケンス及び全体の動作については、第35図に
関連して前述した。
処理モジュール2000を第37図に示す。処理モジュール
2000の構成部品の多くは、前述した他のモジュールの構
成部品と同じである。キャリヤ10及び室12は、第1、3
図及び4図に関連して論じたように動作する。ウェハ48
は、その最左位置がキャリヤ10内にある状態であり、中
間位置が室12内を移動中の状態で示してある。第11図に
関連して述べた種類の粒子制御装置を、モジュール2000
及びその他ここに開示のモジュールで使うことができ
る。最右位置のウェハ48は、処理室2002内に配置されて
いる。遠隔プラズマ発生器2010が、管2012を介して供給
される混合ガスから、マイクロ波エネルギーを用いて遠
隔プラズマを発生する。供給管250が、発生器2020から
の遠隔プラズマを室2002に与える。管2020と2022が真空
接続部を介し、紫外線空間2024及び2002にそれぞれ接続
されている。また管2020と2022は、ガス分配リング2026
と2028にそれぞれ接続されている。空間2024は室2002の
下方に位置する。水晶製バッフル2030が、空間2024を室
2002から分離している。供給管250は、水晶製バッフル2
030との間にスリップ嵌合せを有する。水晶製バッフル2
030は基本的にH状断面を有し、供給管250がクロスバー
の中心を通過している。リング2026が空間2024内に位置
し、リング2028が室2002内に位置する。
モジュール2000は、ポンプ2040及び弁2042を有する。
水晶製バッフルが、室2002の側面及び底の一部を形成し
ている。また水晶製バッフルは第37図において、その上
方つまり閉位置の状態で示してある。ベローズ2032が、
水晶製バッフル2030のための垂直方向の動きを与える。
加熱モジュール2050が室2002の上方に位置する。透明プ
レート2052が、加熱モジュール2050及び室2002を分離し
ている。加熱モジュール250からの熱は、プレート2052
を介して放射によってウェハ48へ導かれる。プレート20
52が、第37図に示した閉位置において室の頂部を形成す
る。面54を下向きにしたウェハ48が、プレート2052のす
ぐ下に位置する。
加熱モジュール2050は、加熱要素からなる2つのリン
グ2060と2062を備えている。リング2060がリング2062の
外側に位置する。各リングは複数の加熱ランプ、例えば
リング2060では24個で、リング2062では12個でそれぞれ
構成されている。両リングは別々に制御可能である。反
射器2070が、リング2060と2062からの熱をプレート2052
を介して差し向ける。加熱モジュール2050は、第38、39
及び40図に関連して詳述する。第38、39及び40図は、第
37図に示した基本処理モジュール2000の変形例を示して
いる。従って、第38、39及び40図の議論は主に各図間で
の相違に焦点を絞る。
第38図は、加熱モジュール2050、リング2060の内の2
つのランプ2100と2102、及びリング2062の内の2つのラ
ンプ2104と2106を備えた処理モジュール2000を示す。反
射器2070も第38図に示してある。リング2060とリング20
62の各ランプに接続されたそれぞれの電源が、コンピュ
ータ制御システム206によって別々に制御される。これ
が、必要に応じた多種類の加熱状態を可能とする。ヒー
タ空間2110が、両リング2060と2062、反射器2070の一
部、及びプレート2052の間に位置する。ヒータ空間はプ
レート2052の上方に位置し、それを越えて横方向に延び
ている。供給管250及びリング2026、2028は、第38図に
関連して前述したように配置されている。水晶製バッフ
ル2030は断面で示してある。垂直軸2120は、モジュール
2000の中心部を通って延びている。供給管250、バッフ
ル2030、リング2026と2028、プレート2052、反射器207
0、及びリング2100と2102は、軸2120を中心に同心円状
に位置する。バッフル2030及びリング2026、2028は、ベ
ローズ2032により軸2120に沿って垂直方向に移動する。
室2002は閉位置状態で示してあり、水晶製円筒体2210が
固定の上方支持体2212に接している。ここで論じたよう
に、シール2214は、モジュール2000の内部の室2002と残
部との間の必要な分離を与えるように設けられる。室20
02からのほぼ下向きの流れが、ポンプ2040(第37図)に
よって与えられる。
2つの電極2230と2232が、空間2024用の垂直壁を与え
る。電極2230と2232は、軸2120と同心円状の円筒体であ
る。電極2230は電極2232を取り巻いて配置されている。
両電極2230と2232は、紫外線発生能力を有する他の処理
モジュールに関連して前述したように、リング2026から
空間2024内に導入されるガスを励起するのに必要な電力
を与えられる。電極2230と2232への電気接続は、導体22
40と2242を介して成される。電源から供給される電力
は、前述のごとくコンピュータ制御システム206によっ
て制御される。センサアレイ2244が、バッフル2030の外
壁に沿って上方に延び、バッフルの頂部を越え、そして
更に室2002内へと水平に延びている。複数の温度センサ
2246(数は変更可能だがそのうち3つだけが第38、39及
び40図に示してある)が、アレイ2244の水平部分上に配
置されている。センサ2246は、ウェハ48の面54のすぐ下
に位置して、それらが配置されている領域の温度をそれ
ぞれ測定する。プレート2052内の開口2250がプレートの
外周から中心へと水平に延び、更にそこからプレート20
52の下面へと下方に延びている。開口2250については後
で後述する。指状部2260(3本のうち1本だけを第38図
に示す)がウェハ48をプレート2052に対して保持し、そ
してここからの指状部は前記の指状部53と同じである。
アレイ2244は、ここに示した他の加熱モジュール、例え
ば第18及び19図に示したものでも使用できる。
反射器2070は平らな先端2272を含む円錐台状の部分を
有し、円錐状の表面2274が平らな先端2272から離れて上
方に延びている。平らな先端2272の中心は軸2120と一致
している。次に反射器2070の表面について論じるが、こ
れら表面は第38図では断面で示してある。また別の円錐
状表面2276が、表面2274より大きい角度で軸2120から離
れるように更に上方に延びている。表面2276からは、水
平な表面2278が軸2120と直角に円錐状の表面2280へと延
びている。表面2280は、水平表面2278から、下方に且つ
軸2120に対して外側へと水平表面2282まで延びている。
水平表面2282は、表面2280から、軸2120に対し直角に外
側へと更に別の円錐状表表面2284まで延びている。表面
2284は、表面2282から、下方に且つ軸2120に対し外側に
向かって延びている。表面2284の最外部は、軸2102に沿
って先端2272とほぼ同じ距離にある。表面2274と2276
は、軸2102に沿って表面2282の位置とほぼ同じ距離で接
っしている。先端2272及び各表面2272、2276、2278、22
80、2282と2284が、反射器2070の上部を形成している。
先端2272はプレート2052から離間している。
反射器270の下部は、軸2120に関して同心円上の円筒
状表面2290を有する。表面2290の下端は、ウェハ48の下
方に延び、そして同じくウェハ48より下方に延びたプレ
ート2052のリング状の足2292によって室2002から分離さ
れている。足2292は、ウェハ48の外周の外側に延び、そ
してそこから離間している。すなわち、第38図に示すよ
うに、足2292は、プレート2052の本体から、ウェハ48よ
りも大きい距離だけ軸2120に沿って下方に延びている。
反射器2070は、表面2290から、上方へ且つ軸2120からは
なれる方向に延びた円錐状の表面2302を有する。水平表
面2302が、その接続地点から表面2300へ、軸2120と直角
に外側へと延びている。反射器の上下両部は、各々連続
状の表面を形成しているが、相互には接続されていな
い。表面2284は、表面2274とランプ2100、2102の加熱要
素と、軸2120に沿ってほぼ同じ距離にある。表面2284
は、ランプ2100と2102からの熱を表面2300とほぼ平行に
ウェハに向かって反射させる角度とし、より多くの熱集
中がウェハの外周に近い領域に向かうようにする。ラン
プ2104、2106の加熱要素は、表面2276、2280と、軸2120
に沿ってほぼ同じ距離にある。表面2276と2280は、ラン
プ2104と2106からの熱をウェハ48へと反射し、両ランプ
からの熱のより多くの集中がウェハの中心領域に向かう
ようにしている。表面2300は、上方且つ軸2120に向かう
方向で表面2276へ熱を反射させる角度にできる。表面23
02も熱を上方に差し向け、下向きに反射させる。表面22
90は軸2120に向かって、且つ、ウェハのエッジ上に追加
の熱を水平方向に差し向ける。全体として、反射器2070
の各表面及び先端が、最大の熱量をウェハ48に与えるよ
うに、空間2110を介して熱を方向付け及び再方向付けす
る。ここに示した特定の反射器207は、加熱モジュール2
050用の反射器を実施するための1つの方法に過ぎな
い。ウェハ48はプレート2052に接っしているので、ウェ
ハ48の上面は接着中保護される。
第39図に示したモジュール2000の加熱モジュール2050
及び反射器2070は、第38図に示したものと同じなのでこ
れ以上説明しない。リング2026、2028、バッフル2030、
プレート2052、供給管250及びモジュール2000の下部の
ほぼ全体の構成もだいたい同じなので、変形部分だけを
次に論じる。
第39図においては、電極が2310がウェハ48とプレート
2052との間に配置されている。プレート2052は導電性で
あって、例えば黒鉛またはシリコンで作成できる。導体
2312が、電極2310にそのエッジ近くで取り付けられてい
る。第39図に示すように室2002が閉じているとき、指状
部2260がウェハ48を電極2310に接触保持している。尚、
指状部2260はノッチ2330を有し、この指状部の上端がプ
レート2052に接っする状態でウェハがノッチ内に位置
し、これによってウェハが電極2310(あるいは第38図の
例ではプレート2052)に対して保持される。但し、第
1、3及び4図のピン53を使うこともできる。加熱モジ
ュール2050からの熱は、表面2290が熱を軸2120の方へ差
し向けていることによって、ウェハ48の外周を除き全て
電極2310上に差し向けられる。センサ2246も、各位置、
例えば外周近く、半径の約半分の地点、及び中心近くに
おけるウェハの温度を与えるという同じ機能を果たす。
この構成が近接プラズマの使用を可能とする。RF電力
は、電極2310と円筒状の支持体2311に印加される。これ
により、前述したRF強化のプラズマが前記処理及び前記
室洗浄のために使用できるようになる。
第40図においては、指状部2260がノッチ2330より深い
ノッチ2332を有し、そしてこの指状部2260の先端がプレ
ート2310に接する一方、ウェハ48がプレート2310から空
間2234を挟み離れて位置するのを可能としている。プレ
ート2052内の開口2250が浄化ガス、例えばヘリウム及び
H2等の不活性ガスをウェハ48の上面に与え、そのウェハ
面への披着を防いでいる。センサ2246は、第38及び39図
の場合と同じ機能を果たす。反射器2070の表面2290は、
ウェハ48の外周へ熱を差し向けるように充分下方にまで
延びている。第37、38、39及び40図に示したモジュール
2000の各種変形例は、ウェハ外周での加熱改善という点
で基本的モジュール概念の柔軟性を示すものである。
上記で特に指定されていなければ、RFとMWとの両プラ
ズマ及び紫外線の発生で使われる電力及び周波数は、他
の処理パラメータと同様広く変更可能である。ここで用
いられる低圧力という用語は、周囲圧よりも低い圧力を
示す。
ここに開示した全ての処理モジュールは、第1、3、
4、5A、及び5Bに示したような室12及びアーム28を1つ
以上備えて使用可能である。シリコン、GaAs及びHgCdTe
の例をここでは示したが、ゲルマニウムなどそれ以外の
材料でウェハを作製することもできる。またウェハは、
例えば結晶材料の単一片または大きい基板上に配置され
た小結晶など、多くのさまざまな形態で構成できる。こ
こで開示したように発生されるプラズマは、遊離基を含
む。ここではウェハ48等のウェハを開示したが、他の種
類の平坦な加工品もここに開示の技術で使用可能であ
る。
ウェハ48を処理した結果は、電子装置、例えば集積回
路またはディスクリート半導体装置となる。処理が完了
した後、ウェハが各デバイスに分割される。回路及びデ
バイスは、例えば参考文献として本明細書に含まれる19
84年8月14日付けでオルクット(Orcutt)等に発行され
た米国特許第4,465,898号、及び1969年4月15日付けで
ビルヒラ(Birchler)等に発行された米国特許第3,439,
238号に開示されているようなパッケージ内に密閉され
る。その後、これらのパッケージはプリント回路板を作
製するのに使われる。意図する機能を達成するのにパッ
ケージ化集積回路がなければ作動し得ないプリント回路
板は、コンピュータ、写真複写機、プリンタ、電話通信
機機、計算器、及びその他電子情報時代の必需品である
全ての電子機器で必要な電気構成部品である。つまり、
かかる回路及びデバイスが存在しなければ、電子機器は
機能できない。
本願は、1つの処理システム、更なる利点を与える数
多くの追加特徴をそれぞれ含む多数の種類の処理ステー
ション及び多数の種類の処理方法を記述するものであ
る。
(発明の効果) 本発明の利点は、内側遠隔MW(マイクロ波)プラズマ
発生、RF近接プラズマ(in situ plasma)発生、及びモ
ジュール内の同じ処理室内に加えられる放射熱のための
別々のエネルギー源を有するモジュールを提供すること
にある。
本発明の利点は、内側遠隔MW(マイクロ波)プラズマ
発生、RF近接プラズマ(in situ plasma)発生、及び放
射熱のために別々に制御可能なエネルギー源を有するモ
ジュールを提供することにある。
本発明の利点は、単独でまたは任意の組合せで使用可
能な内側遠隔MW(マイクロ波)プラズマ発生、RF近接プ
ラズマ(in situ plasma)発生、及び放射熱のための別
々のエネルギー源を有するモジュールを提供することに
ある。
本発明の利点は、組み合わされた被着、エッチング、
及びブレーナ化の能力を備えたモジュールを提供するこ
とにある。
本発明の利点は、遠隔及び近接両プラズマによるその
場での乾式清掃を提供することにある。
本発明の利点は、高温での天然酸化物の除去に適した
モジュールを提供することにある。
本発明の利点は、放射熱を用いて高められた膜披着を
有する処理モジュールを提供することにある。
本発明の利点は、放射熱と組合された遠隔MW(マイク
ロ波)プラズマ源によって、低温でのエピタキシャル膜
成長を可能とする処理モジュールを提供することにあ
る。
本発明の利点は、加工品の片面に水晶プレートを備
え、そこを通じて放射熱が加えられる処理モジュールを
提供することにある。
本発明の利点は、近接RF及び遠隔MW両プラズマを組合
わせて用いることによって、等方性及び異方性の処理を
含め、より高い乾式エッチング速度とより高い選択性を
持つ処理モジュールを提供することにある。
本発明の利点は前エッチング、エッチング、及び後エ
ッチング処理に適した処理モジュールを提供することに
ある。
本発明の利点は、直接反応及び/又は迅速熱処理に適
した処理モジュールを提供することにある。
本発明の利点は、近接RFプラズマ、遠隔MWプラズマ及
び放射熱を任意の組合せで用いることによって、より高
い乾式エッチング速度とにより高い選択性を持つ処理モ
ジュールを提供することにある。
本発明の利点は、ウェハを移動することなく、幾つか
の異なる処理を逐次実施可能な処理モジュールを提供す
ることにある。
以上本発明をその幾つかの特定の実施例に関連して説
明したが、当業者にとってはそれ以外の変形も自ずと明
らかであり、従って本発明は特許請求の範囲にはいるそ
のような変形を全て包含するものであることを理解すべ
きである。
以上の記載に関連して、以下の各項を開示する。
1. 真空処理モジュールにおいて、 (a) 処理室、 (b) 前記処理室から離れ、且つ、液体連通している
プラズマ発生器、及び (c) 前記処理室内の少なくとも第1のエネルギー
源、 を備えた真空処理モジュール。
2. 前記プラズマ発生器と前記第1のエネルギー源が別
々に制御されてそれぞれ単独に動作する第1項記載のモ
ジュール。
3. 前記プラズマ発生器と前記第1のエネルギー源が別
々に制御され任意の組合せで動作する第1項記載のモジ
ュール。
4. 加工品を処理する方法において、 (a) 加工品を処理室内に配置する段階、 (b) 前記処理室から離れた室内で活性化種を発生す
る段階、 (c) 前記活性化種を処理すべき加工品の面に導く段
階、 (d) 前記加工品を前記室から取り出す段階、及び (e) 少なくとも1つのエネルギー源を用いて清掃工
程を実施する段階、 を含む方法。
5. 前記第1のエネルギー源が近接プラズマ発生器であ
る第1または4項記載のモジュール。
6. 前記第1のエネルギー源がヒータである第1または
4項記載のモジュール。
7. 前記第1のエネルギー源が紫外光源である第1また
は4項記載の方法。
8. 加工品を受け入れ可能な真空処理モジュールにおい
て、 (a) 前記加工品から離れ、且つ、流体連通して少な
くとも遊離基を発生するプラズマ発生器、及び (b) 前記加工品に結合されるヒータ、 を備えた真空処理モジュール。
9. 加工品を受け入れ可能な真空処理モジュールにおい
て、 (a) 前記加工品に結合される近接プラズマ発生器、
及び (b) 前記加工品に結合されるヒータ、 を備えた真空処理モジュール。
10. 加工品を受け入れ可能な真空処理モジュールにお
いて、 (a) 前記加工品と流体を連通する遠隔プラズマ発生
器、 (b) 前記加工品に結合される近接プラズマ発生器、
及び (c) 前記加工品に結合されるヒータ、 を備えた真空処理モジュール。
11. 前記近接プラズマが前記加工品の下方で発生さ
れ、前記近接プラズマ発生器が加工品の上下に電極を有
する第9または10項記載のモジュール。
12. 前記ヒータが放射ヒータである第10項記載のモジ
ュール。
13. 前記加工品が下向きの処理面を有する第4、11、
9または10項記載のモジュール。
14. 前記遠隔プラズマ発生器と前記ヒータが別々に制
御されてそれぞれ単独に等差する第11、9または10項記
載のモジュール。
15. 前記遠隔プラズマ発生器と前記ヒータが別々に制
御され任意の組合せで動作する第11、9または10項記載
のモジュール。
16. 処理中前記ヒータが前記加工品の上方に位置する
第11、9または10項記載のモジュール。
17. 前記遠隔プラズマ発生器からのプラズマが前記加
工品の下方からその表面に導かれる第9または10項記載
のモジュール。
18. 前記加工品がウェハである第11、9または10項記
載のモジュール。
19. 3つ別々のエネルギー源をそれぞれ表す遠隔プラ
ズマ発生器(2010)と、近接プラズマ発生器(2028)
と、放射ヒータ(2050)とを有する処理モジュール(20
00)。これら3つのエネルギー源が単独でまたは任意の
組合せで使え、別々に制御可能である。
【図面の簡単な説明】
第1図は半導体集積回路ウェハの真空処理及び搬送と適
合可能な装填ロックの見本実施例を示す図である。第2
図は各種サイズの粒子について、各種圧力の空気中を落
下するのに必要な時間を示すグラフである。第3図は処
理ステーションにおける見本のウェハを移送するための
構成を示し、ウェハは隣接する真空装填ロック室12から
室間移送ポート30を介して到達する移送アーム28によっ
て3本のピン上に置かれている状態を示す図である。第
4図は第1図のと同様な装填ロック内の位置整合台18上
に嵌合合体されたマルチウェハ式真空ウェハキャリヤ10
の見本実施例を更に拡大して示す図である。第5A及び5B
図は処理モジュールとウェハ移送台及び装填ロックを含
む見本の処理ステーションの平面図である。第6図は第
5A及び5B図に示した処理ステーション内の処理モジュー
ルの1つとして使用可能な処理モジュール用の構成を示
す図である。第7図は実際のエッチング処理時にそうさ
れる閉じた状態における第6図のプラズマ反応器を示す
図である。第8図は第6図の反応器の平面図である。第
9図は第6図の処理モジュールの改良型を示す図であっ
て、その見本の実施例はその場で(in situ)発生され
る紫外線による処理強化の能力を含む他、(ウェハ面か
ら離れた追加のプラズマ放電を通るガス流によって発生
される)活性化種をウェハ面に与えることによって得ら
れる能力も備えており、モジュールは、1つだけのモジ
ュールと1つの装填ロックを含む処理ステーション内に
位置して示してあるが、第5A及び5B図のと同様な実施例
でも使用できるものを示す図である。第10図はここに記
す実施例の一部を実現するのに使用可能な処理ステーシ
ョンの物理的構成を示す図である。第11図は真空処理系
で粒子に対する保護を行う装填ロック制御システム用の
フローチャートを示す図である。第12図は第9図に示し
たような実施例において、その場で(in situ)発生さ
れる紫外線による処理強化の能力を実現する構造の詳細
図である。第13図は第12図の構造の代替例を示す図であ
って、(第12図の実施例では)紫外線源プラズマのガス
流を、ウェハ面近くの処理ガス流から分離するのを助け
ていた隔離窓が含まれていないものを示す図である。第
14図は第12図の構造の更に別の例を示す図であって、こ
こでは紫外線源を与えるプラズマがほぼ円筒状の電極間
で発生され、(ウェハ面から離れた追加のプラズマ放電
中を通るガス流によって発生される)活性化種をウェハ
面に与える能力が更に備えられているものを示す図であ
る。第15図は、第14図に示したような実施例において、
ウェハ面から離れたプラズマ放電中を通るガス流によっ
て活性化種を発生する構造の一例を示す図である。第16
図はウェハ面に直近したプラズマからのプラズマ衝撃、
遠隔プラズマ放電からの活性化種の付与、及び強い紫外
線によるウェハ面の照射の複合能力を与えるモジュール
の一例を示す図である。第17図は2つ別々のガス供給分
配器を与え、2つのソース種を用いて化学的な蒸着作業
を行うのに特に有利な処理モジュールの一例を示す図で
ある。第18図はウェハ損傷の危険を減じながら迅速熱処
理を実施可能とする処理モジュールの一部を示す図であ
る。第19A、19B及び19C図は第18図の熱源の動作がウェ
ハの半径方向に沿った加熱分布を如何に変更するかを模
式的に示す図である。第20図は第19B及び19C図の状態で
のウェハの直径に沿った加熱分布の見本プロットを示す
図である。第21A及び21B図は迅速熱処理の実施例におい
て、ウェハと透明真空壁との間の空隙に浄化ガスを供給
する見本のガス流接続構成を含み、ウェハと透明真空窓
との間の導電熱伝達を減じる2つの構造を示す図であ
る。第21C図は上記導電熱伝達を最小限とする第3の方
法を示す図である。第21D図は迅速熱処理の環境下で広
い温度変化にさらされる透明真空壁で使用可能な見本の
真空シールを示す図である。第22図は加熱源の全巾が最
小となっていて、迅速熱処理用加熱源の別の構成を示す
図である。第23図は高温処理(及び浄化)、プラズマ衝
撃、及び遠隔発生された活性化種のウェハ面に対する付
与の複合能力を与える処理モジュールの詳細を示す図で
ある。第24図は高温処理(及び清掃)、プラズマ衝撃、
遠隔発生された活性化種のウェハ面に対する付与、及び
その場で(in situ)発生される強い紫外線のウェハ面
への照射の複合能力を与える処理モジュールを示す図で
ある。第25A及び25B図は(特にフォトレジストベーク及
び/又はエッジビード除去のための)エッジ優先処理能
力を備えた処理モジュールを示す図である。第26A図は
清掃及びスパッタ被着を可能とする処理モジュールを示
す図である。第26B及び26C図はモジュール内でのウェハ
移送用システムを含み、第26A図のモジュールの詳細を
示す図である。第27図は複数のウェハが高圧(または任
意選択として低圧)下で同時に処理される真空処理系と
適合可能な処理モジュールを示す図である。第28図は真
空処理系と適合可能なイオン注入処理モジュールの見本
の実施例を示す図である。第29Aから29G図は半導体処理
モジュールでそれぞれ利点を与える幾つかの見本実施例
における処理ガス配管の内壁の拡大断面図ある。第30A
から30E図は分配器の構造と、この構造によりデスカム
処理で達成される改善結果とを示す図である。第31図は
コンピュータ制御システムのブロック図である。第32図
は遠隔及び近接プラズマ両方を含む処理モジュールを示
す図である。第33及び34図は真空キャリヤと周囲との間
でウェハを移送するのに適した装填ロック室を示す図で
ある。第35及び36図はそれぞれ第33及び34図と同様な図
で、真空キャリヤと真空処理系に至る移送機構との間で
ウェハを移送するのに適した装填ロック室を示す図であ
る。第37図から第40図は2つの環状ランプを有する真空
プロセサの詳細図である。 48……加工品(ウェハ)、 54……加工品の面、 1300……処理モジュール、 1306……処理室、 1312,1314……近接プラズマ(電極)、 1326……遠隔プラズマ(発生器)。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ロバート ティー マシューズ アメリカ合衆国 テキサス州 75075 プラノ パークヘヴン ドライヴ 2417 (72)発明者 レット ビー ジューチャ アメリカ合衆国 テキサス州 75423 セレスト ルート2 ボックス 149 (72)発明者 リー エム レーウェンスタイン アメリカ合衆国 テキサス州 75074 プラノ コーティズ ドライヴ 1809 (56)参考文献 特開 昭57−167631(JP,A) 特開 昭59−199035(JP,A)

Claims (1)

    (57)【特許請求の範囲】
  1. 【請求項1】処理モジュールにおいて、 (a)単一のウエハのためのホルダー及び排出ポートを
    有する処理室と、 (b)前記ホルダーから離間し、そのホルダー上の単一
    のウエハと流体連通している第1のプラズマ発生器とを
    備え、前記ホルダー上の単一のウエハの処理面側を通過
    し、且つ前記排出ポートから外部に排出する全てのガス
    を前記第1のプラズマ発生器内で活性化させ、 (c)更に、前記ホルダーに隣接し、前記第1のプラズ
    マ発生器よりも低いパワーを有する第2のプラズマ発生
    器とを備えることを特徴とする処理モジュール。
JP63175337A 1987-07-16 1988-07-15 処理装置及び方法 Expired - Lifetime JP2840071B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/074,422 US4820377A (en) 1987-07-16 1987-07-16 Method for cleanup processing chamber and vacuum process module
US074422 1987-07-16

Publications (2)

Publication Number Publication Date
JPH028361A JPH028361A (ja) 1990-01-11
JP2840071B2 true JP2840071B2 (ja) 1998-12-24

Family

ID=22119481

Family Applications (1)

Application Number Title Priority Date Filing Date
JP63175337A Expired - Lifetime JP2840071B2 (ja) 1987-07-16 1988-07-15 処理装置及び方法

Country Status (5)

Country Link
US (1) US4820377A (ja)
EP (1) EP0299247B1 (ja)
JP (1) JP2840071B2 (ja)
KR (1) KR970000205B1 (ja)
DE (1) DE3873847T2 (ja)

Families Citing this family (216)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5225036A (en) * 1988-03-28 1993-07-06 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
JPH03130368A (ja) * 1989-09-22 1991-06-04 Applied Materials Inc 半導体ウェーハプロセス装置の洗浄方法
JP2848498B2 (ja) * 1989-11-25 1999-01-20 日本特殊陶業株式会社 ダイヤモンドの合成方法、ダイヤモンド被覆切削工具の製造方法、及びダイヤモンド被覆切削工具の製造方法
US6016383A (en) * 1990-01-19 2000-01-18 Applied Materials, Inc. Rapid thermal heating apparatus and method including an infrared camera to measure substrate temperature
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5294320A (en) * 1990-02-09 1994-03-15 Applied Materials, Inc. Apparatus for cleaning a shield in a physical vapor deposition chamber
US5275976A (en) * 1990-12-27 1994-01-04 Texas Instruments Incorporated Process chamber purge module for semiconductor processing equipment
US5417826A (en) * 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
US5421957A (en) * 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5928964A (en) * 1995-12-21 1999-07-27 Texas Instruments Incorporated System and method for anisotropic etching of silicon nitride
US6072160A (en) * 1996-06-03 2000-06-06 Applied Materials, Inc. Method and apparatus for enhancing the efficiency of radiant energy sources used in rapid thermal processing of substrates by energy reflection
US6015761A (en) * 1996-06-26 2000-01-18 Applied Materials, Inc. Microwave-activated etching of dielectric layers
US6714832B1 (en) * 1996-09-11 2004-03-30 Hitachi, Ltd. Operating method of vacuum processing system and vacuum processing system
US6749717B1 (en) 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
US5960158A (en) * 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US6168672B1 (en) * 1998-03-06 2001-01-02 Applied Materials Inc. Method and apparatus for automatically performing cleaning processes in a semiconductor wafer processing system
US6254717B1 (en) * 1998-04-23 2001-07-03 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US5930456A (en) * 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US5970214A (en) * 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US6170492B1 (en) * 1998-06-15 2001-01-09 Applied Materials, Inc. Cleaning process end point determination using throttle valve position
US6021791A (en) 1998-06-29 2000-02-08 Speedfam-Ipec Corporation Method and apparatus for immersion cleaning of semiconductor devices
US6277235B1 (en) * 1998-08-11 2001-08-21 Novellus Systems, Inc. In situ plasma clean gas injection
US6467490B1 (en) * 1998-08-31 2002-10-22 Texas Instruments Incorporated Process for using a high nitrogen concentration plasma for fluorine removal from a reactor
US6210484B1 (en) 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
US6310328B1 (en) 1998-12-10 2001-10-30 Mattson Technologies, Inc. Rapid thermal processing chamber for processing multiple wafers
US6771895B2 (en) 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
JP3433392B2 (ja) * 1999-01-12 2003-08-04 セントラル硝子株式会社 クリーニングガス及び真空処理装置のクリーニング方法
US6596123B1 (en) 2000-01-28 2003-07-22 Applied Materials, Inc. Method and apparatus for cleaning a semiconductor wafer processing system
US6564810B1 (en) 2000-03-28 2003-05-20 Asm America Cleaning of semiconductor processing chambers
US6569257B1 (en) * 2000-11-09 2003-05-27 Applied Materials Inc. Method for cleaning a process chamber
US6852242B2 (en) 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
US6810886B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Chamber cleaning via rapid thermal process during a cleaning period
US20030221708A1 (en) * 2002-06-04 2003-12-04 Chun-Hao Ly Method of cleaning a semiconductor process chamber
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US7097716B2 (en) * 2002-10-17 2006-08-29 Applied Materials, Inc. Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect
US6923189B2 (en) * 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US7053000B2 (en) * 2003-02-06 2006-05-30 Lam Research Corporation System, method and apparatus for constant voltage control of RF generator for optimum operation
US7033845B2 (en) * 2003-02-06 2006-04-25 Lam Research Corporation Phase control of megasonic RF generator for optimum operation
US6995067B2 (en) * 2003-02-06 2006-02-07 Lam Research Corporation Megasonic cleaning efficiency using auto-tuning of an RF generator at constant maximum efficiency
US6998349B2 (en) 2003-02-06 2006-02-14 Lam Research Corporation System, method and apparatus for automatic control of an RF generator for maximum efficiency
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20050252529A1 (en) * 2004-05-12 2005-11-17 Ridgeway Robert G Low temperature CVD chamber cleaning using dilute NF3
US7900374B2 (en) * 2004-08-18 2011-03-08 Lg Electronics Inc. Apparatus for automatically drying and method for controlling the same
US20060175014A1 (en) * 2005-02-10 2006-08-10 Michael Cox Specimen surface treatment system
US20060175013A1 (en) * 2005-02-10 2006-08-10 Michael Cox Specimen surface treatment system
WO2007045110A2 (en) * 2005-10-17 2007-04-26 Oc Oerlikon Balzers Ag Cleaning means for large area pecvd devices using a remote plasma source
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US8327861B2 (en) * 2006-12-19 2012-12-11 Lam Research Corporation Megasonic precision cleaning of semiconductor process equipment components and parts
KR100838380B1 (ko) * 2007-03-26 2008-06-13 주식회사 하이닉스반도체 반도체 소자의 트렌치 형성 방법
US20080289650A1 (en) * 2007-05-24 2008-11-27 Asm America, Inc. Low-temperature cleaning of native oxide
US7781310B2 (en) 2007-08-07 2010-08-24 Semiconductor Components Industries, Llc Semiconductor die singulation method
US7989319B2 (en) * 2007-08-07 2011-08-02 Semiconductor Components Industries, Llc Semiconductor die singulation method
US8859396B2 (en) 2007-08-07 2014-10-14 Semiconductor Components Industries, Llc Semiconductor die singulation method
US8012857B2 (en) * 2007-08-07 2011-09-06 Semiconductor Components Industries, Llc Semiconductor die singulation method
DE102007054073A1 (de) * 2007-11-13 2009-05-14 Carl Zeiss Nts Gmbh System und Verfahren zum Bearbeiten eines Objekts
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US7871937B2 (en) 2008-05-16 2011-01-18 Asm America, Inc. Process and apparatus for treating wafers
US8384231B2 (en) * 2010-01-18 2013-02-26 Semiconductor Components Industries, Llc Method of forming a semiconductor die
US9299664B2 (en) 2010-01-18 2016-03-29 Semiconductor Components Industries, Llc Method of forming an EM protected semiconductor die
US9165833B2 (en) 2010-01-18 2015-10-20 Semiconductor Components Industries, Llc Method of forming a semiconductor die
US20110175209A1 (en) * 2010-01-18 2011-07-21 Seddon Michael J Method of forming an em protected semiconductor die
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9484260B2 (en) 2012-11-07 2016-11-01 Semiconductor Components Industries, Llc Heated carrier substrate semiconductor die singulation method
US9136173B2 (en) 2012-11-07 2015-09-15 Semiconductor Components Industries, Llc Singulation method for semiconductor die having a layer of material along one major surface
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
KR102167594B1 (ko) * 2013-12-04 2020-10-19 삼성전자주식회사 기판 처리 방법 및 이를 수행하기 위한 장치
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9418894B2 (en) 2014-03-21 2016-08-16 Semiconductor Components Industries, Llc Electronic die singulation method
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
JP2015211156A (ja) * 2014-04-28 2015-11-24 東京エレクトロン株式会社 ドライクリーニング方法及びプラズマ処理装置
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9385041B2 (en) 2014-08-26 2016-07-05 Semiconductor Components Industries, Llc Method for insulating singulated electronic die
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10366923B2 (en) 2016-06-02 2019-07-30 Semiconductor Components Industries, Llc Method of separating electronic devices having a back layer and apparatus
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP6481138B2 (ja) * 2017-04-28 2019-03-13 アドバンストマテリアルテクノロジーズ株式会社 配向膜基板の製造方法、スパッタリング装置及びマルチチャンバー装置
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10373869B2 (en) 2017-05-24 2019-08-06 Semiconductor Components Industries, Llc Method of separating a back layer on a substrate using exposure to reduced temperature and related apparatus
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10818551B2 (en) 2019-01-09 2020-10-27 Semiconductor Components Industries, Llc Plasma die singulation systems and related methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3439238A (en) * 1963-12-16 1969-04-15 Texas Instruments Inc Semiconductor devices and process for embedding same in plastic
US3765763A (en) * 1969-07-29 1973-10-16 Texas Instruments Inc Automatic slice processing
US4306292A (en) * 1971-04-16 1981-12-15 Texas Instruments Incorporated Segmented asynchronous operation of an automated assembly line
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
US4250428A (en) * 1979-05-09 1981-02-10 The United States Of America As Represented By The Secretary Of The Army Bonded cathode and electrode structure with layered insulation, and method of manufacture
US4282267A (en) * 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4293249A (en) * 1980-03-03 1981-10-06 Texas Instruments Incorporated Material handling system and method for manufacturing line
JPS57167631A (en) * 1981-03-13 1982-10-15 Fujitsu Ltd Plasma vapor-phase growing method
US4465898A (en) * 1981-07-27 1984-08-14 Texas Instruments Incorporated Carrier for integrated circuit
US4393095A (en) * 1982-02-01 1983-07-12 Ppg Industries, Inc. Chemical vapor deposition of vanadium oxide coatings
US4447469A (en) * 1982-06-10 1984-05-08 Hughes Aircraft Company Process for forming sulfide layers by photochemical vapor deposition
US4439243A (en) * 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal with fluid flow within a slot
US4439244A (en) * 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal having a fluid filled slot
US4615905A (en) * 1982-09-24 1986-10-07 Sovonics Solar Systems, Inc. Method of depositing semiconductor films by free radical generation
US4440108A (en) * 1982-09-24 1984-04-03 Spire Corporation Ion beam coating apparatus
JPS5959876A (ja) * 1982-09-30 1984-04-05 Ushio Inc 光照射炉の運転方法
US4576698A (en) * 1983-06-30 1986-03-18 International Business Machines Corporation Plasma etch cleaning in low pressure chemical vapor deposition systems
US4629635A (en) * 1984-03-16 1986-12-16 Genus, Inc. Process for depositing a low resistivity tungsten silicon composite film on a substrate
US4579609A (en) * 1984-06-08 1986-04-01 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition utilizing a surface cleaning step immediately before deposition
KR920004171B1 (ko) * 1984-07-11 1992-05-30 가부시기가이샤 히다찌세이사꾸쇼 드라이에칭장치
US4609103A (en) * 1984-08-27 1986-09-02 Texas Instruments Incorporated Semiconductor slice cassette carrier
US4584207A (en) * 1984-09-24 1986-04-22 General Electric Company Method for nucleating and growing tungsten films
US4664938A (en) * 1985-05-06 1987-05-12 Phillips Petroleum Company Method for deposition of silicon
US4689112A (en) * 1985-05-17 1987-08-25 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US4687544A (en) * 1985-05-17 1987-08-18 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US4632057A (en) * 1985-08-05 1986-12-30 Spectrum Cvd, Inc. CVD plasma reactor
US4673456A (en) * 1985-09-17 1987-06-16 Machine Technology, Inc. Microwave apparatus for generating plasma afterglows
US4675089A (en) * 1985-11-25 1987-06-23 At&T Technologies, Inc. Low temperature deposition method for high quality aluminum oxide films
US4684542A (en) * 1986-08-11 1987-08-04 International Business Machines Corporation Low pressure chemical vapor deposition of tungsten silicide

Also Published As

Publication number Publication date
KR970000205B1 (ko) 1997-01-06
DE3873847D1 (de) 1992-09-24
EP0299247A1 (en) 1989-01-18
DE3873847T2 (de) 1993-03-18
US4820377A (en) 1989-04-11
JPH028361A (ja) 1990-01-11
KR890002983A (ko) 1989-04-12
EP0299247B1 (en) 1992-08-19

Similar Documents

Publication Publication Date Title
JP2840071B2 (ja) 処理装置及び方法
US4949671A (en) Processing apparatus and method
US5248636A (en) Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
US4877757A (en) Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
US4904621A (en) Remote plasma generation process using a two-stage showerhead
US4988533A (en) Method for deposition of silicon oxide on a wafer
US5138973A (en) Wafer processing apparatus having independently controllable energy sources
US4822450A (en) Processing apparatus and method
US4911103A (en) Processing apparatus and method
US4886570A (en) Processing apparatus and method
US4836905A (en) Processing apparatus
US4872938A (en) Processing apparatus
US4818326A (en) Processing apparatus
EP0300217B1 (en) Processing apparatus and method
US4838990A (en) Method for plasma etching tungsten
US4910043A (en) Processing apparatus and method
US4837113A (en) Method for depositing compound from group II-VI
US4875989A (en) Wafer processing apparatus
US4891488A (en) Processing apparatus and method
US4906328A (en) Method for wafer treating
US4849067A (en) Method for etching tungsten
US4832778A (en) Processing apparatus for wafers
US4874723A (en) Selective etching of tungsten by remote and in situ plasma generation
US4915777A (en) Method for etching tungsten
US4855016A (en) Method for etching aluminum film doped with copper

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081016

Year of fee payment: 10

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081016

Year of fee payment: 10