KR970000205B1 - 집적회로 및 다른 전자장치를 제조하기 위한 장치 및 방법 - Google Patents

집적회로 및 다른 전자장치를 제조하기 위한 장치 및 방법 Download PDF

Info

Publication number
KR970000205B1
KR970000205B1 KR1019880008773A KR880008773A KR970000205B1 KR 970000205 B1 KR970000205 B1 KR 970000205B1 KR 1019880008773 A KR1019880008773 A KR 1019880008773A KR 880008773 A KR880008773 A KR 880008773A KR 970000205 B1 KR970000205 B1 KR 970000205B1
Authority
KR
South Korea
Prior art keywords
wafer
chamber
vacuum
processing
gas
Prior art date
Application number
KR1019880008773A
Other languages
English (en)
Other versions
KR890002983A (ko
Inventor
제이. 데이비스 세실
티. 매튜 로버트
비. 쥬차 레트
엠. 레벤스 타인 리
Original Assignee
텍사스 인스트루먼츠 인코포레이티드
엔. 라이스 머레트
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 텍사스 인스트루먼츠 인코포레이티드, 엔. 라이스 머레트 filed Critical 텍사스 인스트루먼츠 인코포레이티드
Publication of KR890002983A publication Critical patent/KR890002983A/ko
Application granted granted Critical
Publication of KR970000205B1 publication Critical patent/KR970000205B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

내용 없음.

Description

집적회로 및 다른 전자 장치를 제조하기 위한 장치 및 방법
제1도는 반도체 집적 회로 웨이퍼의 진공 처리 및 이송에 적합한 로드 룩크의 샘플 실시예를 도시한 도면.
제2도는 여러 가지 크기의 입자들에 대해 여러 압력에 따라 공기를 통해 떨어지는데 시간을 도시한 그래프.
제3도는 웨이퍼가 이송 암(28)에 의해 세 개의 핀 상에 위치되어 인접한 진공 로드 록크 챔버(12)로부터 챔버간 이송 포트(30)를 통해 도달하는 처리 스테이션내의 샘플 웨이퍼 이송 구조물을 도시한 도면.
제4도는 제1도의 구조물과 같은 로드 록크 내부의 위치 조절 플랫폼(18) 상에 도킹된 다수의 웨이퍼 진공 웨이퍼 캐리어(10)의 샘플 실시예를 도시한 사시도.
제5a도 및 제5b도는 처리 모듈과 웨이퍼 이송단, 및 코드 록크를 포함하는 샘플 처리 스테이션의 평면도.
제6도는 제5a도 및 제5b도에 도시된 스테이션 내부의 하나의 처리 모듈로서 사용될 수 있는 처리 모듈의 구조를 도시한 도면.
제7도는 실제 에칭 처리중 폐쇄 위치에 있는 제6도의 플라즈마 반응기를 도시한 도면.
제8도는 제6도의 반응기의 평면도.
제9도는 웨이퍼면에 (웨이펴 면으로부터 이격된 추가의 플라즈마 방출에 의한 가스 유동에 의해 발생된)활성 화학 성분을 제공하는 능력 및 원위치 발생 자외선에 의해 처리 개선 능력을 포함하는 제6도의 처리 모듈의 개량된 예 형태를 도시한 도면(본 모듈은 하나의 모듈 및 하나의 로드 록크만을 포함하는 처리 스테이션으로 도시되어 있지만, 제5a도 및 제5b도와 같은 실시예에 사용될 수도 있다).
제10도는 본 명세서에 기술된 몇 가지 실시예를 실시하는데 사용될 수 있는 처리 스테이션의 실제 구성도.
제11도는 진공 처리 시스템에서 입자 방지(protection)를 제공하는 로드 록크 제어 시스템에 대한 흐름도.
제12도는 제9도에 도시된 것과 같은 실시예에서 원위치 발생 자외선에 의한 처리 개선 능력을 실현하기 위한 구조의 상세도.
제13도는(제12도의 실시예에서) 웨이퍼 면 근처의 처리 가스 유동으로부터 자외선 광원 플라즈마의 가스유동을 분리시키는 것을 돕는 분리기 창이 없는, 제12도 구조의 다른 변형예를 도시하는 도면.
제14도는 자외선 광원을 제공하는 플라즈마가 원통형인 전극들 사이에서 발생되며, (웨이퍼 면으로부터 이격된 추가의 플라즈마 방출을 통한 가스 유동에 의해 발생된) 활성 화학 성분을 제공하는 능력을 갖는 제12도 구조의 다른 변형예를 도시한 도면.
제15도는 제14도와 같은 실시예에서 웨이퍼 면으로부터 이격된 플라즈마 방출을 통한 가스유동에 의한 활성 화학 성분을 발생시키는 구조의 일 예를 도시한 도면.
제16도는 웨이퍼 면에 근접한 플라즈마로부터의 플라즈마 포격과, 원격 방출으로부터 활성 화학 성분의 제공과 강한 자외선으로의 웨이퍼 면 조사의 결합된 능력을 갖는 모듈의 일 예를 도시한 도면.
제17도는 두 개의 별도의 가스 공급 분배기를 제공하며, 두 개의 원료 화합물을 사용하는 화학 증착(vapordeposition) 작업에 특히 유리한 처리 모듈의 일례를 도시한 도면.
제18도는 웨이퍼 손상 위험을 감소시키면서 급속 열 처리가 수행되는 처리 모듈의 일 예를 도시한 도면.
제19a도, 제19b도 및 제19c도는 제18도의 열원의 작동에 의해 웨이퍼에 대한 가열상태 분포를 변경시키는 방법을 개략적으로 도시한 도면.
제20도는 제19b도 및 제19c도의 상태 하에서의 웨이퍼 직경에 대한 가열 상태의 샘플 도표.
제21a도 및 제21b도는 웨이퍼와 투명 진공 벽 사이의 공극에 세척 가스를 공급하기 위한 샘플 가스 유동 연결부를 포함하는, 급속 열처리 실시예의 웨이퍼와 투명 진공 창 사이의 전달을 감소시키기 위한 두가지 구조를 도시한 도면.
제21c도는 전도열 전달을 최소화하기 위한 제3의 방법을 도시한 도면.
제21d도는 급속 열 처리 환경을 광범위한 온도 변화를 받게 되는 투명 진공 벽에 사용될 수 있는 샘플 진공 시일을 도시한 도면.
제22도는 열원의 전체 폭이 최소화된 급속 열 처리를 위한 열원의 다른 구조를 도시한 도면.
제23도는 고온 처리(및 클린업), 플라즈마 포격, 및 원격 발생 활성 화학 성분을 웨이퍼 면에 제공을 위한 결합된 능력을 갖는 처리 모듈의 상세도.
제24도는 고온 처리(및 클린업), 플라즈마 포격, 원격 발생 활성 화학 성분을 웨이퍼 면에 제공, 원위치에서 발생된 발생된 강한 자외선을 웨이퍼 면을 조사를 위한 결합된 능력을 갖는 처리 모듈을 도시한 도면.
제25a도 및 제25b도는 엣지-우선적 처리(특히, 포토레지스트 베이킹 및/또는 엣지 비이드 제거)능력을 갖는 처리 모듈을 도시한 도면.
제26a도는 클린업 및 스퍼터 증착을 허용하는 처리 모듈을 도시한 도면.
제26b도는 및 제26c도는 모듈내에서 웨이퍼 이송을 위한 시스템을 포함하는 제26a도의 모듈의 상세도.
제27도는 다수의 모듈들이 고압 하에서(또는, 저압 하에서) 동시에 처리되는 진공 처리 시스템에 적합한 처리 모듈을 도시한 도면.
제28도는 진공 처리 시스템에 적합한 이온 주입 처리 모듈의 샘플 실시예를 도시한 도면.
제29a도 내지 제29g도는 반도체 처리 모듈 내의 장점들을 제공하는, 처리 가스 파이프의 내부 벽의 확대 단면도.
제30a도 내지 제30e도는 분배기 구조, 및 디스컴 처리 시 이러한 구조로 달성된 개량된 결과를 도시한 도면.
제31도는 컴퓨터 제어 시스템의 블럭 선도.
제32도는 원격 및 원위치 플라즈마를 갖는 처리 모듈을 도시한 도면.
제33도 및 제34도는 진공 캐리어와 주위 사이에서 웨이퍼를 이송시키도록 된 로드 록크 챔버를 도시한 도면.
제35도 및 제36도는 진공 캐리어와 진공 처리 시스템으로의 이송 기구 사이에서 웨이퍼를 이송시키도록 된 로드 록크 챔버를 도시한, 제33도 및 제34도와 각각 유사한 도면.
제37도 내지 제40도는 두 개의 램프 링을 갖는 진공 처리기를 상세하게 도시한 도면.
* 도면의 주요부분에 대한 부호의 설명
10,1540,1640 : 캐리어
12,565,566,1502,1602 : 진공 로드 록크 챔버,
13,135,911,922,926,2214 : 시일 18,1500,1600 : 위치 조절 플랫폼
28,128 : 이송 암 30 : 챔버간 이송 포트
31 : 분리 출입구 36 : 배기 매니폴드
39,702,703,707,1334,2042 : 분리 밸브
44,46,130,144,146,692,912,2311 : 지지부
48,942 : 웨이퍼 54 : 웨이퍼 면
60 : 선반
104,204,554,570,590,600,620,630,640,650,675,680,690,914,940,1000,1300,2000 : 처리 모듈 106,558 : 이송 암 조립체
110,118,224,612,670,684,685,1010,1312,1314,2310 : 전극
120,212,248,306,310,312,416,602,1302 : 처리 가스 분배기
122 : 가스 공급 포트
124,414,981,1008,1316,2032 : 벨로우즈
202,208,2246 : 입자 감지기 206,562,700 : 컴퓨터 제어 시스템
214,440,1320,2260 : 핑거 216,230,609 : 처리 파이프
218,950,1306 : 처리 챔버
232,284,286,318,320,400,604,1040,2030 : 베플
238 : 투명 진공 벽 254,2010 : 원격 플라즈마 챔버
258,1328 : 슬립 끼워맞춤 연결부
266 : 가스 입력 튜브 352 : 세척 가스 라인
572,2050 : 가열 모듈 672 : RF 도체링
682 : 램프 모듈 701,706,737 : RF 전력 공급기
704 : 로드 록크 압력 제어기 705 : 로드 록크 압력 제어 밸브
708 : 진공 웨이퍼 캐리어 문 감지기 709 : 이송 암 제어기
710 : 웨이퍼 제어기 711,716,738 : 챔버 압력 제어기
712 : 펌프 분리 밸브 713 : 질소 세척 밸브
714 : 처리 압력 제어기 715 : 처리 챔버 조절 밸브
717 : 처리 챔버 터보 펌프 분리 밸브 718 : 처리 챔버 진공 감지기
720 : 기판 온도 감지기 721 : 복사열 램프 전원 공급기
722 : 램프 전원 공급기 723 : 열 교환기 제어 밸브
724 : 기판 온도 제어기 725 : 기판 전원 제어기
726 : 마이크로웨이브 플라즈마 온도 감지기
727 : 마이크로웨이브 플라즈마 전원 공급 제어기
728 : 마이크로웨이브 플라즈마 전원 공급기
729 : 매니폴드 밸브 제어기 730 : 매니폴드 밸브
731 : UV 튜너 732 : UV 전원 공급 제어기
733 : UV 송신기 전력 734 : 무선 주파수 튜너
736 : RF 전원 공급 제어기
739 : 로드 록크 저온 펌프 분리 밸브
740 : 처리 챔버 저온 펌프 분리 벨브
본 발명은 집적 회로 및 다른 전자 장치를 제조하기 위한 장치 및 방법에 관한 것이다.
집적 회로 제조시의 기본적인 문제점들 중 하나는 입자 존재로 인해 야기되는 결함이다. 예를들어, 도체층을 패턴 형성하기 위해 최소 0.8미크론의 기하학적 형태를 갖는 사진석판술(photolithography)이 수행되고 있는 경우에, 0.5미크론 입자의 존재에 의해 (회로 단락(open circuit)에 의해 직접적으로 또는 전자 이동(electromigration)에 의해 잠재적으로) 회로가 작동하지 못하게 되는 결함을 야기시킬 정도로 충분히 패턴 형성된 라인이 좁아질 수 있다. 다른 예를 들면, 100Å 실리콘 입자가 표면에 부착되어 성장되고 있는 200Å 질화물 층 내에 포함되는 경우에, 후속 처리단계가 실리콘 입자를 전혀 교란시키지 않는다고 가정할지라도, 유전체는 이 지점에서 더 많은 파괴 기능성을 갖는다.
직접 회로 처리에서의 두 가지 추세 때문에 이러한 문제은 더욱 심각해지고 있다. 첫째, 장치 치수가 작아질수록 치명적인 결합(killing defect)의 크기가 더 작아지므로, 보다 작은 입자의 존재를 방지할 필요가 있다. 이 때문에, 클린 품(clean room)을 실제로 깨끗하게 하는 작업이 점점 더 어렵게 된다. 예를들어, 1미크론 이상의 입자에 대해 1등급인(즉, 입방 피트 당 하나 미만의 입자를 갖는 환경(atmosphere)을 갖는) 클린 롬은, 입자 크기가 100Å 까지 작아지는 경우 1000 등급으로 되거나 더욱 악화될 수도 있다.
둘째, 대형 직접 회로 사용이 필요성이 증가되고 있다. 예를들어, 5년 전보다 현재에는 50,000 제곱 밀 이상 크기의 집적 회로가 훨씬 많이 사용된다. 이것은 각각의 치명적인 결함이 이전보다 더 넓은 처리된 웨이퍼 면적을 파손시킬 것이라는 것이 의미한다. 즉, 임계 결합 크기(critical defect size)가 감도될 뿐만아니라, 임계 결함 밀도(critical defect density)도 감소된다.
따라서, 입자들은 집적 회로 제조 수율 손실의 매우 중요한 원인이며, 앞으로도 이들의 중요성은 매우 신속하게 증가하게 될 것이다. 따라서, 본 발명의 목적은 일반적으로 적용 가능한 입자 오염에 대한 처리 감도를 감소시키는 집적 회로 제조 방법을 제공하기 위한 것이다.
입자 오염의 주요 원인 중 하나는 인체에서 떨어지는 입자와 반도체 처리 설비 내부(전방 단부) 주변을 이동하는 장비 조작자에 의해 유발되는(stirred) 입자를 포함하는 인체에서 발생된 입자이다. 이 주요 원인들로부터 입자 오염에 대한 가능성을 감소시키기 위해서, 업계에서의 일반적인 추세는 자동 이송 작업을 더 많이 활용하는 것이었다. 이러한 작업을 사용하면, 예를들어, 웨이퍼 카세트(cassette)가 기계 내에 배치될 수 있으며, 이 기계는 수동 작업 없이(필요한 처리 단계를 수행하기 위해) 이 기계를 통해 카세트로부터 그리고 다시 카세트로 웨이퍼를 하나씩 자동 이송한다.
그러나, 자동 이송 작업 분야에서의 노력의 결과, 제2입자원 즉, 취급 및 이송 작업 중 웨이퍼 및 이송 기구에 의해 발생된 입자들의 중요성이 강조되었다. 웨이퍼의 표면이 다른 경질(hard) 표면에 부딪칠 때, (실리콘, 이산화 실리콘 등의 재질의) 입자가 약간 떨어진다. 이러한 입자원 때문에 종래의 웨이퍼 케리어 내부의 입자 밀도는 통상 매우 높다. 더욱이, 여러 가지 종래 기술의 웨이퍼 이송용 기구는 상당한 양의 입자를 발생시킨다. 일반적인 문제점은 본 명세서에서 참조된 미국특허 제4,439,243호 및 제4,439,244호에 기술되어 있다.
어떤 종류의 웨이퍼 처리는 본 명세서에서 참조된 1981년 10월 6일 웰란(Whelan)에게 특허된 미국 특허 제4,293,249호, 1981년 12월 5일 헤드(Head)에게 특허 미국 특허 제4,306,292호, 및 1973년 10월 16일 나이가드(Nygaard)에게 특허된 미국 특허 제3,765,763호에 기술되어 있다.
상술된 종래 출원들에서는 이송 중 웨이퍼 표면의 마모에 의한 입자 발생이 감소되는 진공 웨이퍼 캐리어를 제공함으로써 이러한 문제점을 해결하였다. 이 종래 출원들에서는, 고 진공 상태 하에서 면을 아래로 하여 웨이퍼를 운반함으로써 이송 및 저장 중 캐리어 내의 입자 발생을 감소시킬 뿐만 아니라, 이송 및 저장중 웨이퍼의 기능 면(active face)으로의 입자의 이송을 감소시킬 수 있었다. 이에 의해, 웨이퍼 기능 면이 아닌 다른 면 상에 주위의 입자 및 이송 발생 입자가 신속하게 침하(settling)될 수 있다.
따라서, 진공 또는 극히 낮은 진공 상태에서 웨이퍼는 이송되며, 적재되며, 하적되며 그리고 처리될 수 있다. 약 10-5Torr 미만의 압력에서는, 약 100Å 보다 큰 크기의 입자를 유지할 정도의 브라운 운동이 없으며 이 입자들은 비교적 신속하게 이러한 저압 환경으로부터 낙하되기 때문에, 이는 매우 유용하다.
제2도는 주변 압력 하에서 상이한 크기의 입자들이 1m 떨어지는데 필요한 시간을 도시한 것이다. 10-5Torr 이하의 압력에서, 100Å의 입자는 초당 1m 떨어지며, 더 큰 입자는 더 빨리 떨어진다(큰 입자는 단순하게 중력 가속도로 탄도를 그리며 떨어진다.). 따라서 10-5Torr 미만의 압력을 갖는 환경에서는 100Å 이상의 입자들은 단지 탄도를 그리며 이동되며 무작위적인 기류(random air current) 또는 브라운 드리프트(Brownian drift)에 의해 주요 웨이퍼 표면 상에 이송될 수 없다.
본 명세서에 기술된 여러 가지 실시예에 대한 이 곡선의 타당성은, 종래 출원들이(예를들어, 종래의 사진 석판술 스테이션을 위해 또는 습식 처리 단계를 위해) 처리 단계 자체가 고압을 필요로 하는 경우를 제외하고는〔스크러빙 및 펌핑 압력 강하 스테이션(scrubbing and pumpdown station)일 수 있는〕제1진공 처리 스테이션 내로 적재될 때로부터 처리가 완료될 때까지 공중 부유(air-borne) 입자에 웨이퍼들이 전혀 노출되지 않도록 웨이퍼를 처리하기 위한 제1공지 방법이었다는 것이다. 이는 웨이퍼 상에 입자 수지 가능성이 크게 감소된다는 것을 의미한다.
또한, 앞에서 인용한 종래 출원에서는 하나 이상의 처리 모듈에서 로드 록크(load lock) 및 진공 웨이퍼 이송 기구를 갖는 진공 웨이퍼 캐리어 설계를 사용하여 완전한 저-입자 웨이퍼 이송 시스템을 제공한다. 이 진공 로드 록크들은 로드 록크 챔버가 펌핑 압력 강하된 후에 진공 웨이퍼 캐리어를 개방시키며 임의의 무작위적인 순서(random-access order)로 필요시마다 캐리어로부터 웨이퍼들을 제거하며 포트를 통해 인접 처리 챔버 내로 하나씩 웨이퍼를 통과시키기 위한 기구를 유용하게 통합시킬 수 있다. 더욱이, 로드 록크 기구는 진공 웨이퍼 캐리어를 폐쇄시켜 재밀봉시킬 수 있으므로, 로드 록크 자체는 대기압까지 되며 진공 웨이퍼 캐리어 내의 진공 상태를 파괴시키지 않고 진공 웨이퍼 캐리어가 제거될 수 있다. 이러한 처리는 제2도에 도시되어 보다 상세하게 후술되는 침하 현상의 최대 장점을 취한다. 이때, 웨이퍼는 사실상 입자 없는 환경내에서 전체 제조 순서로 캐리어로부터 로드 록크로, 처리 챔버 내로 그리고 다시 로크 록크를 통해 캐리어로 이동될 수 있다.
(하나의 처리 모듈 또는 하나 이상의 처리 모듈을 포함할 수도 있는) 처리 스테이션에는 하나 이상의 로드 로크가 부착되어 있다. 이는 몇가지 실제적인 그리고 잠재적인 장점을 갖는다. 첫째, 다른 로드 록크가 재 적재되고 있는 동안, 한 로드 록크로부터 이송된 웨이퍼의 처리가 계속될 수 있으므로, 처리량이 증가된다. 둘째, 몇 종류의 기계적인 고장에 있어서, 고장을 고치기 위해 처리 모듈을 통풍(vent)시켜야 할 경우에 주위에 노출되는 것을 방지하도록 중심 모듈 영역으로부터(로드 록크들 중 하나의 로드 록크 내로, 또는 처리 모듈 중 하나의 모듈 내로) 적어도 처리 중의(in-process) 웨이퍼를 이동시키는 것이 가능하다. 이는 상당히 심한 고장일지라도 복귀될 수 있다는 것을 의미한다. 셋째, 별도 이송 암이 각각의 로드 록크 내부에 제공되며, 이는 로드 록크 내부의 하나의 이송 장치에 기계적 문제점이 발생하는 경우, 기계적인 고장을 고치기 위해 유지 보수(maintenance)되는 동안에도, 다른 로드 록크를 통해 이송함으로써 처리 스테이션이 생산을 계속할 수 있다는 또다른 장점을 제공한다.
본 명세서에 기술된 여러 가지 처리 모듈들은 처리 장비의 모듈성(modularity)에서 상당히 개선되었다. 즉, 반응기는 비교적 간단한 교환에 의해 매우 다양한 기능들 중 소정 기능으로 변경될 수 있다. 이하의 상세한 설명으로부터, 대부분의 상이한 유용한 기능들이 단지 웨이퍼 서셉터(susceptor) 및 관련 구조물(즉, 볼트로 죄어진 반응기의 상부편) 또는 공급 구조물(즉, 웨이퍼 바로 아래의 구조물)을 교체함으로써 설치될 수 있다는 것을 알 수 있다. 따라서, 진공 챔버 및 웨이퍼 이송 인터페이스의 기본 구성은 거의 변경되지 않는다.
이러한 능력은 상당한 장점을 부여한다. 첫째, 새로운 처리 성능을 추가하는 한계 자본(marginal capital)이 크게 감소된다. 둘째, 새로운 기능들을 수행하기가 비교적 용이하게 재구성될 수 있기 때문에 제조 공간 융통성(flexibility of manufacturing space)이 크게 증가된다. 셋째, 반응기 구조물에 대한 설계 개발 시간이 크게 단축된다. 넷째, 여러 가지 주요 기능들이 다양한 반응기들에 대해 동일하게 수행될 수 있기 때문에 새로운 반응기 사용시 인원을 훈련시키는데 필요한 시간이 크게 단축된다. 다섯째, 작업자들이 다양한 장비로 인한 생소함 또는 혼동으로 인해 실수할 가능성이 보다 적어지기 때문에 실수에 따른 비용이 감소될 수 있다. 여섯째, 적절한 예비 부품 재고 유지 비용이 감소된다. 일곱째, 적절한 대체 모듈이 생산 반응기 내로 교체된 후에 여러 가지 수리 및 유지 보수 작업들이 라인 밖에서(off-line) 수행될 수 있기 때문에 이러한 작업의 지연에 의한 비용이 감소될 수 있다. 여덟째, 불필요한 작업을 수행하도록 구성된 기계가 재구성될 수 있기 때문에 제조 공간 내의 사용되지 않고 폐기된 기계가 최소화될 수 있다.
본 명세서에 기술된 여러 가지 종류의 모듈들은 이들을 설치하는데 필요한 면적(footprint)이 최소화된다는 장점을 제공한다. 즉, 상술된 것과 같은 하나 이상의 모듈이 클린 룸 내에 위치된 경우, (매우 고가의) 클린 룸 바닥 면적이 최소화될 수득될 수 있다.
진공 상태를 손상시키지 않고 하나의 처리 챔버로부터 다른처리 챔버로 웨이퍼를 이송시키는 능력은 후술되는 실시예의 모듈 호환성(modular compatibility)에 의해 향상된다. 특히, 본 명세서에 기술된 종류의 모듈 처리 유니트들의 장점들 중 하나는, 하나의 처리 스테이션의 상술된 것과 같은 몇 개의 처리 모듈을 포함할 수 있으므로, 웨이퍼들이 공통 스테이션 내에 있는 두 개의 모듈 사이에서 이동되기 위해 로드 록크를 통과할 필요가 없다는 것이다.
후술되는 여러 가지 모듈 설계의 정점들에 관한 한가지 고려할 점은 극히 우수한 반응기를 제공한다는 것, 즉, 임의의 단일 처리에 사용될 수 있는 보다 많은 적응 능력을 갖는다는 것이다. 따라서, 이러한 특징은 순차적인 처리에 유리하다는 것을 알 수 있다. 즉, 필요시 웨이퍼를 제거하지 않고 동일 챔버 내에서 하나 이상의 처리를 수행한다. 반응기 설계의 잉여의(excess) 능력은 두개의 순차적인 단계를 수행하도록 구성하기에 용이하다는 것을 의미하기 때문에 본 명세서에서 기술된 반응기 설계는 이를 행할 때 특히 유리하다.
양호한 실시예의 설명으로부터 다른 장점을 알 수 있을 것이다.
이하, 첨부 도면을 참조하여 본 발명에 대해서 상세하게 기술하기로 한다.
본 발명은 반도체 처리 방법 및 장치(semiconductor process methods and apparatus)에서 새로운 주요 개념을 제공한다. 이하, 양호한 실시예들에 대해 상세하게 기술하겠지만, 이들 실시예에 포함된 개념들은 여러 가지 다른 실시예에서도 사용될 수 있으며, 본 발명의 범위는 기술된 특정 예에 의해 한정되지 않는다.
제1도는 진공 로드 록크 챔버(12) 내부의 진공 웨이퍼 캐리어(10)의 실시예를 도시한다. 진공 웨이퍼 캐리어(10)는 제4도에 보다 상세히 도시되어 있다.
진공 웨이퍼 캐리어(10)는 문(door, 14)이 개방된 상태로 도시되어 있다. 문(14)은, 예를들어, 힌지(hinge, 도시되지 않음)에 의해 캐리어(10)의 주 본체의 한쪽에 (제1도 및 제4도에 도시된 바와같이 좌측에) 피봇 가능하게 장착된다. 문(14)은 진공 웨이퍼 캐리어의 본체와 결합되는 진공 시일(13, 제4도)을 가지며, 진공 웨이퍼 캐리어(10) 내부는, 예를 들면, 캐리어(10) 외부가 대기 중에 있는 동안 내부 압력을 10-5Torr 이상으로 상승시킬 정도의 누설이 없이 수 일 또는 수십 일 동안 유지될 수 있다.
진공 웨이퍼 캐리어(10)는 위치 조절 플랫폼(18, position registration platform)과 도킹(dock)되도록 되어 있다. 위치 조절 플랫폼(18)은 제1도에서 부분적으로만 도시되어 있으나, 제4도에서 보다 상세히 도시되어 있다. 진공 웨이퍼 캐리어(10)가 진공 로드 록크 챔버(12) 내에 위치되면, 진공 웨이퍼 캐리어(10)의 위치를 정확하게 알 수 있다. 진공 웨이퍼 캐리어(10)는 위치 조절 플랫폼(18)에 고정된 수직 슬롯(17)들과 결합되는 귀부(ear, 16)들을 갖는다. 진공 웨이퍼 캐리어(10)는 위치 조절 플랫폼(18) 상에 놓일 때까지 이들 슬롯 내로 활주될 수 있으며, 따라서, 진공 웨이퍼 캐리어(10)의 위치를 명확히 알 수 있다. 위치 조절 플랫폼(18)은 두 개의 테이퍼 핀(21, tapered pin)을 포함하는 것이 좋다. 제4도에 도시된 바와같이, 핀(21)은 두 개 모두 원추형이나, 예를들면, 하나의 원추형이며 다른 하나는 쐐기(wedge)형과 같이 서로 다른 형상일 수 있다. 귀부(16)가 슬롯(17)과 결합되어 진공 웨이퍼 캐리어(10)가 하강될 때 진공 웨이퍼 캐리어의 하부에 있는 테이퍼 구멍(13)과 결합되도록 핀(21)이 위치된다. 기계적인 조절을 보강하기 위해 다양한 다른 구조가 사용될 수 있다. 따라서, 슬롯(17), 귀부(16) 및 핀(21)에 의해, 캐리어(10) 및 챔버(12)가 정렬(또는, 기계적으로 조절)된다.
또한, 진공 웨이퍼 캐리어(10)는 우연히 가해지는 외력으로 인해 문(14)이 개방되는 것을 방지하는 안전걸쇠(15)를 갖는다. 귀부(500)는 캐리어(10)의 주 본체에 문(14)을 부착시키는 힌지(도시되지 않음)로부터 이격된 문(14)의 측면으로부터 연장된다. 또한, 캐리어(10)가 진공이 아닌 캐리어로 사용되는 경우 안전 걸쇠(15)는 문(14)을 폐쇄 상태로 유지하도록 사용될 수도 있다. 귀부는 캐리어(10)의 측면(제4도에 도시된 바와같이 우측)에 회전 가능하게 장착된 안전 걸쇠(15)와 결합되도록 되어 있다. 그러나, 정상 이송 상태하에서는, 대기압이 진공 웨이퍼 캐리어(10)의 내부 진공에 대해 문(14)을 폐쇄 상태로 유지시키기 때문에 이러한 안전 걸쇠는 불필요하다. 스롯(17)과 귀부(16)를 결합시킴으로써 진공 웨이퍼 캐리어(10)가 진공 로드 록크 챔버(12) 내부에 위치되면, 고정 외팔보(19)는 안전 걸쇠(15)와 결합되어, 귀부(500)로부터 이격되게(제4도에 도시된 바와같이 상향으로) 안전 걸쇠를 회전시켜 안전 걸쇠를 해제하여 문(14)이 개방될 수 있다. 고정 외팔보(19)는 제4도에 도시된 바와같이 플랫폼(18)으로부터 상향 연장된다.
진공 웨이퍼 캐리어(10)가 위치 조절 플랫폼(18)가 도킹되면, 문(14)은 또한 문 개방 샤프트(24)의 상부와 결합된다. 문(14)의 하부에는 문 개방 샤프트(24)의 상부의 외팔보 및 암(25)과 결합되는 얕은 홈(도시되지 않음)이 제공될 수 있다. 필요시 문(14)을 회전시키기 위해 캐리어(10)의 주 본체와의 부착부 근처에서 문(14)을 결합하도록 암(25)이 설치된다. 따라서, 차압(differential pressure)에 의해 문(14)이 더 이상 폐쇄 상태로 유지되지 못하도록 로드 록크가 펌핑 압력 강하된 후, 문 개방 샤프트(24)를 (제4도에 도시된 바와같이 시계 방향으로) 회전시킴으로써 문이 개방될 수 있다. 제4도에 도시된 바와같이 반시계 방향으로 샤프트(24)를 회전시킴으로써 문이 폐쇠될 수 있다.
진공 웨이퍼 캐리어(10)가 진공 로드 록크 챔버(12, 제1도) 내에 위치되어 로드 록크 뚜껑(20)으로 폐쇄된 후, 고압일 수 있는(건성 질소 또는 다른 세척 가스인) 세척제(purge)가 로드 록크 뚜껑(20) 내부에 매니폴드(manifold, 22, 제1도)를 통해 가해진다. 매니폴드(22)는 뚜껑(20) 내의 구멍, 뚜껑(20) 내의 구멍 내로의 가스 공급원과의 연결부, 및 뚜껑(20) 하부 및 구멍으로부터의 개구를 포함한다. 가스는 공급원으로부터 뚜껑(20) 내의 구멍을 통해 유동하며, 뚜껑(20)으로부터 개구를 통해 하향 배출된다. 매니폴드(22)로부터의 가스는 입자를 하향 이송시키는 수직 유동을 제공한다. 또한, 매니폴드(22)로부터의 가스 유동은 대기 상태 노출 중에 진공 웨이퍼 캐리어(10) 상에 수집될 수 있는 약간의 큰 입자를 제거하는데 도움을 준다.
이러한 초기 세척 단계(예를들어, 30초 이상 동안)후, 챔버는 10-3Torr 이하로 서서히 펌핑 압력 강하된다. 입자를 교반시키지 않기 위해 펌핑 압력 강하 단계는 비교적 느려야 한다. 즉, 저압에 의해 입자가 공기로부터 낙하되면서, 이들 입자는 여전히 챔버 하부 상에서 입수 가능할 것이며, 이것이 방지되려면 입자는 교반되지 않아야 한다.
이때, 공기 부유 입자가 실제로 챔버 공기로부터 낙하되는 것을 보장하기 위해, 진공 로드 록크 내부는 수초 동안 10-3Torr 또는 10-4Torr로 유지될 수 있어, 공기로부터 낙하될 수 있는 모든 입자들이 낙하되는 것이 보장된다.
상술한 방식으로 캐리어(10) 및 챔버(12)를 사용하면, 통상 입자 이송의 주요 형태인 공기 부유 입자의 문제점이 크게 감소되며, 탄도를 그리며 이송되는 입자들의 문제점이 양호하게 해결(addressed)될 수 있다.
챔버(12)의 변형예로서 로드 록크의 경사진 하부 및 연마된 측벽들이 사용될 수 있다. 이에 의해 측벽 및 하부에 부착되어 기계적 진동에 교란될 수 있는 입자군이 감소될 것이다.
진공 게이지(gauge, 62, 제1도)는 진공 로드 록크 챔버(12)의 내부에 연결된다. 진공 게이지(62)는 (열전쌍과 같은) 고압 게이지, (이온화 게이지와 같은) 저압 게이지, 및 로드 록크 내부압력이 대기압과 평형을 이루었을 때를 정확히 감지하는 차압 감지기(differential sensor)를 포함한다. 이들 게이지가 로드 록크 내부에 소정의 진공이 도달되었다는 것을 표시할 때까지 웨이퍼 캐리어(10)의 문은 개방되지 않는다.
초벌(roughing) 펌프 및 분리 밸브(702, 제31도)가 챔버를 약한 진공 상태로 떨어뜨린 후, 로드 록크의 내부에 펌프(38)를 연결시키도록 게이트 또는 분리 밸브(39, gate or isolation valve)가 개방될 수 있으며, 그 후, 펌프(38)는 10-3Torr 이하로 압력을 강하시키도록 작동될 수 있다.
이때, 진공 웨이퍼 캐리어(10) 및 진공 로드 록크 챔버(12) 내의 압력은 거의 동일하게 되며, 문(14)은 진공 통로(feedthrough, 33)를 통해 문 개방 샤프트(24)에 연결되는 문 구동 모터(26)를 작동시킴으로써 개방될 수 있다(제4도). 제1도 및 제4도에 도시한 바와같이, 모터(26)는 문(14)을 개방시키기 위해 시계 방향으로, 문(14)을 폐쇄시키기 위해 반시계 방향으로 샤프트(24)를 회전시킨다. 또한, 문(14)이 완전 개방 위치에 있을 때와, 문(14)이 완전 폐쇄위치에 있을 때를 확인하도록, 두개의 감지기 스위치(708, 제31도)가 진공 로드 록크 챔버(12) 내부에 포함된다. 따라서, 로드 록크 챔버(12)가 펌핑 압력 강하되어 수초 동안 유지된후, 하나의 감지기 스위치가 문이 완전히 개방되었다는 것을 검출할 때까지 문 개방 샤프트(24)는 문(14)을 개방시키도록 시계 방향으로 회전된다.
이 동안, 이송 암(28)은 문의 하부 아래의 높이의 원위치(home position)에 유지되어, 문(14) 개방을 위한 간극이 유지된다. 감지기 스위치가 문(14)이 완전히 개방되었다는 것을 검출한 후, 이송 암(28)은 작동 개시될 수 있다. 문(14)을 폐쇄시키기 위해, 다른 감지기 스위치가 문(14)이 폐쇄되었다는 것을 검출할 때까지 샤프트(24)는 반시계 방향으로 회전된다.
이송 암(28)은 2자유도(degree of freedom)를 갖고 있다. 암은 수직 이동 및 수평 이동이 모두 가능하다. 한 방향의 이동에 의해 이송 암(28)이 진공 웨이퍼 캐리어(10) 내의 또는 챔버간(inter-chamber) 이송 포트(30)를 통해 인접한 처리 모듈, 예를들어, 처리 모듈(570, 제9도)내에 도달될 수 있다. 다른 자유도는 이송 암(28)의 수직 이동에 대응하여, 이에 의해 진공 웨이퍼(10) 내부의 웨이퍼를 선택하여 제거하거나 도는 이송 작동 중 슬롯 내로 웨이퍼를 위치시킬 수 있다.
승강기 구동 모터(32)는 이송 암(28)을 승강시키며, 암 수동 모터(34)는 이송 암(28)을 신축시킨다. 이들 모터는 배기 매니폴드(36)에 내장되기 때문에, 이들 모터는 진공 통로를 필요로 하지 않는다. 제1도에 도시된 바와같이, 매니폴드(36)는 원통 형상을 가지며, 챔버(12)의 하부로부터 하향 연장된다. 또한, 매니폴드는 챔버내로 짧은 거리로 챔버(12) 하부를 통해 연장되어 그에 부착된다. 펌프(38)는 챔버(12)와의 부착부로부터 이격된 매니폴드(36)의 단부에 있다. 또한, 모터(26)는 챔버(12)로부터 하향 연장된다. 예를들어, 펌프(38)는 터보 모레큘러 펌프(turbomolecular pump)일 수 있다. 배기 매니폴드(36)는 진공 로드 록크 챔버(12)내로 직접 개방되지 않으나, 그 대신에 배기 매니폴드 상부 [챔버(12)내로 연장되는 매니폴드(36)의 단부] 주위에 개구(40)를 갖는다. 따라서, 배기 매니폴드(36)는 승강기 구동 모터(32), 암 구동 모터(34), 또는 펌프(38)로부터 진공 로드 록크 챔버(12)까지의 일직선의 통로(a line of sight path)가 없도록 구성된다. 이에 의해, 이를 이동 요소로부터 로드 록크 챔버 내로 입자의 탄소 이송이 감소된다. 제1도에 도시된 구조가 유용한 것으로 알려졌지만, 필요한 웨이퍼(48) 이송을 위해 다른 구조도 가능하다.
승강기 구동 모터(32)는 서브 플랫폼(sub-platform, 42)을 상하로 구동시키도록 연결되며, 암 구동 모터(34)는 매니폴드(36)내의 서브 플랫폼(42) 상에 장착된다. 모터(34)는 매니폴드(36)내에 고정된다. 모터(32)의 구동 샤프트는 스크루(510)를 구동시킨다. 스크루(510)는 서브 플랫폼(42)내의 나사를 관통하여, 모터(32)의 구동 샤프트의 회전 방향에 따라 서브 플랫폼(42)을 상하로 구동시킨다. 세 개의 로드(520,521 및 522)가 서브 플랫폼(42)을 관통하여 그와 활주 결합될 수 있다. 이들 로드는 매니폴드(36)의 상부에 부착된다. 또한, 관형 지지부(46)가 서브 플랫폼(42)에 부착된다. 매니폴드(36)내의 이러한 연결장치에 의해 이송 암(28)이 용이하게 수직으로 이동될 수 있다.
이송 암(28)을 매우 콤팩트하게 이동시킬 수 있는 다른 연결 장치가 회전 가능한 이송 암 지지부(44) 내부에 제공된다. 관형 지지부(46)는 서브 플랫폼(42)으로부터 매니폴드(36)의 상부를 통해 상향 연장된다. 회전 가능한 이송 암 지지부(44)는 관형 지지부(46) 내의 회전 로드(도시되지 않음)에 의해 구동되도록 연결된다. 관형 지지부(46)는 암 지지부(44)에 고정된다. 따라서, 회전 로드는 암 구동 모터(34)에 의해 구동되어 암 지지부(44)를 구동시키며, 회전하지 않으나 상하로 이동되는 관형 지지부(46) 상에 회전 가능한 이송 암 지지부(44)가 장착된다. 회전 가능한 이송 암 지지부(44)와 이송 암(28) 사이의 연결부가 회전 가능한 이송 암 지지부(44)와 관형 지지부(46) 사이의 연결부 각속도의 두 배로 이동되도록, 내부 체인(chain) 및 스프로킷 연결 장치가 사용된다. 물론, 이를 위해 여러 가지 다른 기계적인 연결 장치가 사용될 수도 있다. 이는, 회전 가능한 이송 암 지지부(44)가 원위치에 있을 때 웨이퍼(48)는 관형 지지부(46)의 약간 위에 지지되나, 회전 가능한 이송 암 지지부(44)가 관형 지지부(46)에 대해 90°회전될 때 이송 암(28)은 회전 가능한 이송 암 지지부(44)에 대해 180°회전되며, 이송 암은 진공 웨이퍼 캐리어(10) 내로 곧바로 또는 챔버간 이송 포트(30)를 통해 인접 처리 챔보 내로 곧바로 연장될 수 있다는 것을 의미한다. 이 연결 장치는 명세서에서 참조된 1987년 4월 21일자, 데이비스(Davis) 등에 특허 미국 특허 제4,659,413호에 보다 상세하게 기술되어 있다.
이송 암(28)은 예를들어, 0.076㎝(0.030 인치) 두께의 얇은 스프링강 편이다. 이송 암(28)은 웨이퍼(48)를 지지하도록 상부에 세 개의 핀(50, 제1도 및 제3도)을 갖는다. 각각의 세 개의 핀(50)은 작은 견부(shoulder, 1900, 제3도) 상에 작은 콘(52, 제3도)을 포함한다. 작은 콘(52) 및 작은 견부(1900)는 실리콘을 긁지(scratch) 않기에 충분한 부드러운 재질로 제조될 수 있다. 예를들어, 이송되는 웨이퍼를 실제로 접하는 이송 암(28)의 부분인 이들 부분은 Ardel(유니온 카바이드 제품인 열가소성 페닐 아크릴 레이트) 또는 Delrin과 같은 고온 플라스틱(즉, 진공 하에서 비교적 낮은 가스 방출 성질을 갖는 플라스틱)으로 제조될 수 있다. 각각의 세 개의 핀(50)의 중심에 있는 작은 콘(52)을 사용함으써, 이송 암(28)에 대한 웨이퍼의 매우 작은 오정렬 상태가 교정될 수 있다. 즉, 본 명세서에 기술된 웨이퍼 이송 시스템은 연속 작업 중 작은 오정렬 상태가 누적되지 않고 감쇠되는 안정된 기계적인 시스템이다. 웨이퍼의 엣지에만 웨이퍼(48) 및 핀(50)의 접속이 이루어진다.
도시된 바와같이 웨이퍼(48)를 위치설정할 때, 세 개의 핀(50) 중 하나의 핀은 웨이퍼(48)의 외주(49, 제4도) 상의 편평부(56, 제4도)에 대해 놓인다. 이는, 본 실시예에서, 이송 암(28) 상의 세 개의 핀(50)은 취급되는 웨이퍼(48)의 직경과 동일한 직경의 원(circle)을 한정하지 않는다는 것을 의미한다.
각 웨이퍼(48)의 편평부(56, 제4도)가 웨이퍼의 정확한 취급을 방해하지 않는 것을 보장하도록, 진공 웨이퍼 캐리어(10)는 각 웨이퍼(48)의 편평부(56)가 놓이는 내부 후방 쪽의 접촉면(29)을 갖는다. 문(14)이 폐쇄될 때 문(14)의 내부 면 상의 탄성 요소(27, 제4도)는 이 평면에 대해 각 웨이퍼를 압박하여, 이송 중 웨이퍼 및 캐리어의 상대적인 이동이 최소화된다. 즉, 웨이퍼들이 선반(ledge 60)에 대해 마찰되지 않는다. 또한, 이에 의해, 문(14)이 개방될 때 각 웨이퍼(48) 상의 편평부(50)의 위치를 정확하게 알 수 있는 것이 보장된다. 즉, 웨이퍼는 공지된 소정 정렬 상태로 있게 된다.
작동 시, 진공 웨이퍼 캐리어(10)가 문(14)이 개방된 상태에서 진공 로드 록크 챔버(12)내에 있은 후, 승강기 구동 모터(32)는 제거하고자 하는 제1웨이퍼(48)의 높이 바로 아래로 이송 암(28)을 이동시키도록 작동되며, 그 후, 암 구동모터(34)가 캐리어(10) 내부로 이송 암(28)을 연장시키도록 작동된다. 이는 제1도에 도시된 암(28)의 세 위치들 중 가장 좌측의 위치이다. 승강기 구동 모터(32)를 간단하게 작동시킴으로써, 외주(49) 주위의 세 개의 핀(50)이 진공 웨이퍼 캐리어(10) 내부에 놓여진 선반(60, 제4도)으로부터 소정의 웨이퍼를 상승시킬 때까지 이송 암(28)은 약간 상승된다.
제4도에 도시된 바와같이, 선반(60)은 평면이 아닌 테이퍼 면이므로, 선반(60)과 선반 상에 놓인 웨이퍼(48) 사이의 접촉은 면접촉이 아닌 선접촉이며 웨이퍼의 엣지에 한정된다. 이에 의해, 수 ㎟일 수도 있는 상당한 면적에 대해 캐리어와 웨이퍼 사이의 접촉이 방지되며, 사용된 선접촉은 통상 수 ㎟ 이하인 매우 보다 작은 면적에 걸쳐 있다. 본 실시예에서 사용된 선접촉의 다른 정의는 웨이퍼 지지부가 엣지로부터 1㎜ 이하인 지점에서만 웨이퍼의 표면을 접한다는 것이다. 따라서, 이송 암(28)을 상승시킴으로써,웨이퍼(48)가 픽업되어 이송 암(28) 상의 세 개의 핀(50)의 작은 콘 또는 견부(1900)상에 놓인다.
선반(60)은 지공 웨이퍼 캐리어(10) 내부에서 0.475㎜(0.187 인치)의 중심간(center-to-center) 간격을 가질 수 있다. 웨이퍼(48)의 두께 보다 작은 이 중심간 간격은 이송 암(28)에 세 개의 핀(50)을 합한 높이에 충분한 간극이 있어야 하지만, 더 클 필요는 없다. 예를들어, 이송 암은 세 개의 핀(50) 상의 작은 콘(52)의 높이를 포함하여 약 0.203㎝(0.80인치)의 두게이다. 예를들어, 웨이퍼(48)는 약 0.053㎝(약 0.021인치)의 두께이므로, 약 0.216㎝(0.085인치) 간극이 있다. 웨이퍼의 두께 및 직경은 광범위하게 변화될 수 있다. 일반적으로, 웨이퍼의 직경이 크면 클수록 두께도 커지지만, 진공 웨이퍼 캐리어(10)의 크기 및 진공 웨이퍼 캐리어(10) 내부의 선반(60)의 중심 간격이 간단하게 적절히 조절될 수 있기 때문에 이러한 종류의 진공 웨이퍼 캐리어(10)는 이렇게 보다 큰 직경의 웨이퍼에 사용하기에 적합하다. 또한, 캐리어(10)는 필요시에 예를들어 GaAs와 같은 보다 얇은 웨이퍼를 운반하도록 될 수 있다.
이송 암(28)이 웨이퍼(48)를 픽업한 후, 암 구동 모터(34)는(제1도에 도시된 중간 위치인) 원 위치로 이송 암(28)를 이동시키도록 작동된다. 이는 제1도에 도시된 암(28)의 중간 위치이다. 그 후, 승강기 구동 모터(32)는 챔버간 이송 포트(30,제3도)를 통해 도달할 수 있는 높이로 이송 암(28)을 이동시키도록 작동한다.
챔버간 이송 포트(30)는 분리 출입구(31)에 의해 덮여 있다. 제3도에 도시된 출입구(31)는 활주 접촉에 의해 챔버간 이송 포트(30)를 밀봉시킨다. 샤프트(580)가 (제3도 도시된 바와같이) 회전될 때, 제공된 연결 장치는(제3도에 도시된 바와같이) 출입구(31)를 상향 구동시켜 포트(30)를 덮는다. 포트(30)를 개방시키기 위해, 샤프트(580)는 반대 방향으로 회전된다. 필요시, 회전 이동에 의해 밀봉이 수행될 수 있다. (즉, 활강 접촉부의 부존재는 내부에서 발생된 입자들을 감소시키는 데 유리할 수도 있다). 챔버간 이송 포트(30)위의 분리 출입구(31)는 공기 실린더에 의해 작동될 수 있지만, 스텝퍼(stepper) 모터가 사용될 수도 있다. 따라서, 모두 네개의 모터가 사용될 수 있는데, 이들 중 두 개의 모터는 진공 통로를 사용하고, 나머지 두 개의 모터는 배기 매니폴드(36)에 내장된다.
챔버간 이송 포트(30)를 통해 인접한 처리 챔버 내로 이송 암(28)을 연장시키도록 암 구동 모터가 다시 작동된다. 이는 제1도에 도시된 암(28)의 가장 우측의 위치이다. 인접한 처리 챔버는 예를들어 주입기(implanter), 플라즈마 에칭 및 중착 모듈(deposition module)(또는 피착 모듈)과 같은 본 명세서에 기술된 임의의 처리 모듈인 여러 가지 상이한 종류의 처리 모듈들 중 하나의 모듈 또는 다른 종류의 모듈일 수도있다.
챔버간 이송 포트(30)를 통해 도달하는 이송 암은 이송 암(28) 자체에 사용된 것과 같은 제3도에 도시된 웨이퍼 지지 핀(53) 상에 웨이퍼(48)를 위치시키게 된다. [챔버간 이송 포트(30)는 이송 암(28)이 챔버간 이송 포트(30)를 통해 연장되는 동안 약간의 수직 이동이 가능할 정도의 충분한 수직 높이를 갖고 있어, 예를들어 처리 챔버 내부의 웨이퍼 지지 핀(53)과 같은 웨이퍼 지지로부터 웨이퍼를 들어올리거나 웨이퍼 지지부 상에 웨이퍼를 내려놓도록 이송 암(28)이 수직 이동될 수 있다.] 웨이퍼(48)는 핀(53)의 상부 상에 암(28)에 의해 놓여진다.
또는, 처리 챔버는 이송 박스 내부의 선반(60)과 같은 이격되고 기울어진 선반을 갖는 고정부를 포함할 수도 있으며, 또는 웨이퍼를 수납하기 위한 다른 기계적 구조를 가질 수도 있다. 그러나, 어떤 경우에도, 이송된 웨이퍼(48)를 수납하도록 사용된 구조는(적어도 이송 시에) 웨이퍼의 하부에 간극을 가져, 이송 암(28)이 웨이퍼를 위치시키거나 또는 제거하도록 웨이퍼의 하부에 도달할 수 있어야 한다. 웨이퍼 지지 핀(53)이 이송된 웨이퍼를 수납하도록 사용되면, 처리 챔버 내부의 웨이퍼 지지 핀(53)를 수직 이동시키기 위해 벨로우즈(bellows) 이동 또는 진동 통로를 제공하는 것이 바람직할 수 있다. 따라서, 예를들어, 처리 챔버가 플라즈마 에칭 또는 반응성 이온 에칭(RIE, reactive ion etch) 모듈인 경우, 이송 암(28)이 웨이퍼(48)의 경로로부터 수축된 후 예를들어 서셉터 상에 수직으로 웨이퍼(48)를 이동시키도록 벨로우즈가 제공될 수도 있다.
물론, 처리 챔버는, 예를들어 엔지니어링 검사 모듈 또는 증착 모듈일 수도 있다. 진공 분리된 현미경 대물 렌즈에 의해 진공에서 그리고(적절히 꺾어진 광학경로를 사용하여)면을 밑으로 한 위치에서 웨이퍼의 검사가 가능하다. 이는, 클린 룸을 통한 격신한 교통량에 의해 야기될 수 있는 엔지니어 시간 및 클린 룸 품질이 손실 없이, 엔지니어 검사가 적합한 장소에서 이루어질 수 있다는 것을 의미한다. 검사 모듈은 필요시 다른 모듈과 결합될 수 있다.
어떤 경우에도, 필요시 이송 암(28)은 수축되고, 출입구(31)는 폐쇄 위치로 이동되어 포트(30)를 폐쇄시킨다. 그 후, 웨이퍼(48)의 처리가 진행된다. 처리가 종료된 후, 챔버간 이송 포트(30) 위의 분리 출입구는 다시 개방되며, 이송 암(28)은 다시 연장되며, 승강기 구동 모터(32)는 이송 암(28)이 웨이퍼(48)를 픽업하도록 간단하게 작동되며, 암 구동 모터(34)는 이송 암(28)를 다시 원위치로 이동시키도록 다시 작동된다. 그후, 승강기 구동 모터(32)는 진공 웨이퍼 캐리어 내부의 소정 슬롯과 웨이퍼(48)를 정렬시키기 위해 정확한 높이로 이송 암(28)을 이송시키도록 작동된다. 그후, 암 구동 모터(34)가 이송 암(28)을 진공 웨이퍼 캐리어(10)내로 연장시키도록 작동되며, 방금 처리된 웨이퍼(48)가 이것과 짝으로 된 선반(60)상에 놓여진다. 그후, 승강기 구동 모터(32)는 이송 암(28)을 하강시키도록 간단하게 작동되어, 선반(60)반에 웨이퍼가 놓여지며, 그 후, 암 구동 모터(34)는 원위치로 이송 암(28)을 수축시키도록 작동된다. 그 후, 상술된 연속 단계는 반복되며, 이송 암(28)은 처리될 다른 웨이퍼를 선택한다.
상술된 이송 암(28) 및 회전 가능한 이송 암 지지부(44)의 기계적 연결 장치를 가지고, 이송 암(28) 및 이송 암 지지부(44)의 중심간 길이가 동일한 경우 이송되고 있는 웨이퍼들은 정확하게 직선으로 이동될 것이다. 이는, 이송되고 있는 웨이퍼가 박스로부터 당겨지거나 박스내로 압박될 때 이송되는 웨이퍼 측면이 진공 웨이퍼 캐리어(10)의 측면에 대해 충돌하거나 긁히지 않게 되는 것을 의미하기 때문에 유리하다. 즉, 금속 박스 면에 대한 웨이퍼의 마모로 인한 입자 발생의 위험 없이 진공 웨이퍼 캐리어(10)의 간극은 비교적 작게 될 수 있다(이는 캐리어 내로의 이송 중 웨이퍼 요동(rattling)에 의한 입자 발생을 감소시키는 것을 돕는다).
진공 웨이퍼 캐리어(10) 내부의 모든(또는 적어도 그 중 많은) 웨이퍼들이 처리될 때까지, 한 웨이퍼씩 이러한 방식으로 처리가 계속된다. 이 때, 이송 암(28)은 빈 상태로 원위치로 복귀되어 문(14)의 하부 엣지 아래로 하강되며, 챔버간 이송 포트(30) 상의 분리 출입구(31)가 폐쇄된다. 샤프트(24)는 회전되어 문을 폐쇄시키며 문(14)과 진공 웨이퍼 캐리어(10)의 편평한 전면 사이를 진공 밀봉시키도록 초기 접촉시켜 로드 록크 내부의 압력이 증가될 때(압력 차에 의해) 진공 웨이퍼 캐리어(10)가 밀봉되도록 된다. 이 때, 진공 로드 록크 챔버(12)는 다시 가압될 수 있다. 진공 게이지(62)의 차압 감지기가 압력이 대기압까지 상승되었다는 것을 판단하면, 로드 록크 뚜껑(20)은 개방될 수 있으며, (차압에 의해 밀봉되는) 진공 웨이퍼 캐리어(10)는 수동으로 제거될 수 있다. 로드 록크 내부의 진공 웨이퍼 캐리어(10)에 필요한 부피를 상당히 증가시키지 않으면서 이러한 수동 제거를 돕기 위해 절첩식 손잡이(11,folding handle)가 캐리어가 상부 쪽에 제공된다.
진공 웨이퍼 캐리어(10)가 제거된 후, 절첩식 손잡이는 필요에 따라 주위로 옮겨져 저장될 수 있다. 진공 시일(13)은 웨이퍼 표면으로의 입자 이송 [및 증기상(vapor-phase) 오염물의 흡착]이 최소화되도록 진공 웨이퍼 캐리어(10) 내에 고 진공 상태를 유지한다. 캐리어(10)내의 웨이퍼는 장치를 구성하도록 처리되는 표면을 가지며 입자가 이 표면상에 놓여지는 것을 방지하기 위해 밑을 향하고 있다.
또한, 진공 웨이퍼 캐리어(10)는 문 내에 장착된 탄성 요소(27)을 포함한다. 이들 탄성 요소(27)는 문(14)의 폐쇄될 때 웨이퍼(48)에 대해 가벼운 압력을 가하며, 웨이퍼들이 요동하여 입자를 발생시키는 것을 억제한다. 탄성 요소(27)는 도시된 실시예에서 스프링 세트로서 구성되지만, 다른 기계적 구조(예를들어, 탄성 중합체로된 돌출 비이드)가 탄성 요소를 구성하도록 사용될 수도 있다. 사용된 웨이퍼들이 평면을 갖는 경우, 웨이퍼 평면이 압박되도록 진공 웨이퍼 캐리어(10)의 내부 배면상에 편형한 접촉면(29)이 제공된다.
또한, 진공 웨이퍼 캐리어(10)의 측벽 상의 선반(60)은 경사진다. 이는 웨이퍼의 지지된 면과의 접촉이 임의의 상당한 면적에 걸쳐서가 아니라 선에 걸쳐서만 행해지게 되는 것을 돕는다. 이는 이송 중 웨이퍼 손상 및 입자 발생을 감소시킨다. 또한, 이는 상술된 위치 설정 에러의 누적을 감쇠시키는 것을 돕는다. 임의의 가능한 기계적 잼(jam)의 검사가 가능하도록 로드 록크 뚜껑(20)은 창(window, 도시되지 않음)을 가질 수 있다.
이러한 실시예들의 장점은, 여러 가지 가능한 기계적 기능 장애의 경우, 문제점을 정정하기 위한 시도가 행해지기 전 진공 웨이퍼 캐리어(10)의 문이 폐쇄될 수 있다는 것이다. 예를들어, 웨이퍼가 모든 세 개의 핀(50) 상에 적절히 안착되지 않도록 이송 암(28)이 웨이퍼를 픽업하면, 문제점을 정정하기 위한 어떠한 시도가 행해지기 전 문 구동 모터(26)는 문(14)을 폐쇄시키도록 작동될 수 있다. 마찬가지로, 이송 암(28)이 원위치로 수축될 수 있는 경우 챔버간 이송 포트(30)는 폐쇄될 수 있다. 정상 제어 순서로부터 벗어남으로써 약간의 이러한 기계적 오정렬 문제점이 교정될 수도 있다. 예를들어, 이송 암(28)상의 웨이퍼(48)의 위치는 몇몇의 경우에 이송 암(28)을 부분적으로 연장시킴으로써 웨이퍼(48)의 엣지는 문(14) 또는 챔버간 이송 포트(30)위의 분리 출입구의 외부에 접촉되도록 조절될 수 있다. 이러한 작업이 소용없으면, 진공 로드 록크 챔버(12)는 (진공 웨이퍼 캐리어(10)의 문(14)이 폐쇄된 상태로) 다시 대기압까지 될 수 있으며, 문제점들이 수동으로 정정될 수 있도록 로드 록크 뚜껑(20)이 개방된다.
제6도, 제7도 및 제8도는 반응성 이온 에칭을 위해 사용될 수 있는 단일 웨이퍼 반응기를 도시한다. 본 명세서에 기술된 대부분의 처리 모듈들은 본 실시예로부터 파생된 부수적 개념 및 부수적인 장점들과 함께 본 실시예의 적어도 몇 가지 개념 및 장점들을 사용한다. 매우 유사한 반응기 설계가 플라즈마 에칭, 즉, 100mTorr보다 높은 압력에서의 에칭을 위해 사용될 수 있다. 플라즈마 에칭 및 반응성 이온 에칭 (또는, RIE)이란 용어는 때로는 본 기술 분야에서 구별되어 사용되며, RIE는 플라즈마 포격이 많은 상태, 즉, 저압이고 웨이퍼가 가동 전극(powered electrode)상에 장착된 상태 하에서의 에칭을 칭하는 것으로 사용된다. 본 명세서에서는 이를 엄격하게 구별하지 않았다. 본 출원의 원리들은 종래 구별되는 플라즈마 및 RIE 에칭 모두에 적용 가능하나, 본 명세서에 의해 제기된 몇 가지 특징은 RIE 에칭 처리에 관련하여 보다 유리하다.
제6도는 후술되는 제5a도 및 제5b도에 도시된 것과 같은 처리 시스템에서 사용될 수 있는 처리 모듈(104)을 도시한 것이다.
제6도는 반응성 이온 에칭을 위해 또는 플라즈마 에칭을 위해 사용될 수 있는 단일 웨이퍼 반응기를 도시한다. 상술한 바와같이, 이송 암(28)은 웨이퍼 지지핀(53, 제4도) 상에 웨이퍼를 위치시킨 후 수축된다. 이때, 챔버(112), 접지 전극(110), 처리 가스 분배기(120), 기부 판(138), 및 석영 실린더(114)를 포함하는 전체 하부 조립체는 예를들어 공기 실린더 또는 진공 통로(도시되지 않음)를 사용하여 상향 이동된다. 벨로우즈(124)에 의해 모듈(104)의 내부로 진공기밀 인터페이스를 유지하면서 수직 이동이 가능하다. 이러한 수직 이동에 의해 웨이퍼 지지 핀(53)상에 놓여있는 웨이퍼의 배면이 가동 전극(118)고 접촉되며, 이 때 웨이퍼 지지 핀(53)의 하부에 부착된 활주 핀 지지부(130)는 판 스프링(132)에 대해 약간 수축된다. [활주 핀 지지부(130)의 작은 탄성력을 보장하여 웨이퍼가 너무 큰 힘으로 가동 전극(118)에 대해 가압되지 않도록 다른 탄성 요소들이 판 스프링(132) 대신에 사용될 수 있다.〕
이 조립체에 상향 이송의 최종 부분에 의해 시일(135, 제7도)은 챔버(112)의 상부에 있는 석영 실린더(114)와 가동 전극(118)을 둘러싼 석영편(116) 사이가 폐쇄된다. 따라서, 밀봉이 이루어지면, 이 처리 챔버의 내부는 처리 모듈(104) 내부의 나머지 부분으로부터 진공-밀봉된다.
웨이퍼 배면으로 헬륨 공급원을 연결하기 위해 헬륨 블리드(bleed) 포트(134)가 제공된다. 이러한 헬륨 공간은 가동 전극(118)의 하부 지점들과 웨이퍼 사이의 공간이 진공이 아닌 헬륨 충전되는 것을 의미하며, 이에 의해 웨이퍼가 가동 전극(118) 사이의 현저하게 낮은 열 저항 및 고도로 반복 가능한 열 접촉이 보장된다. 가동 전극(118)은 냉각체가 공급될 수 있는 냉각제 매니폴드 공간(136)을 포함할 수 있다.
다른 실시예에서, 핀(53)은 판 스프링(132)에 의해 지지되는 활주 핀 지지부(130)상에 장착되는 것이 아니라, 고정된다. 헬륨 블리드 포트(134)가 웨이퍼의 배면과 가동 전극(118) 표면 사이의 양호한 열 접촉을 보장하기 때문에, 천 분의 수인치의 공차는 여전히 웨이퍼(48)에 가동 전극(118)의 양호한 RF 거플링을 가능하게 하며, 가동 전극(118)과 웨이퍼(48)사이의 양호한 열 접촉을 가능하게 한다. 이러한 크기의 공차는 챔버 하부를 상부에 신뢰성 있게 밀봉시키기 위해 챔버 벽의 열 팽창, 시일 두께의 변화, 웨이퍼 두께의 변화 등을 충분히 허용할 수 있어야 한다. 본 실시예에서, 웨이퍼 면에 인접한 플라즈마의 횡방향 분산을 최소화하기 위해 석영 실린더(114) 및 석영편(116)이 약간 상이하게 성형된다. 그러나, 활주 핀 지지부(130)를 사용하면, 석영 실린더(114)는 제7도에 도시된 바와같이 웨이퍼 면(54) 가까이 플라즈마를 한정할 수 있다.
제7도는 웨이퍼가 처리를 위해 내부에 유지되는 폐쇄 위치에서 제6도의 처리 모듈의 상부를 도시한다. 반응기가 폐쇄된 후, 헬륨 블리드 포트(134, 제6도)를 통해 헬륨 공급(bleed)이 개시될 수 있다. 동시에, 소정의 처리 가스가 처리 가스 분배기(120)를 통해 제공될 수 있다.
처리 가스 분배기(120)는 석영으로 제조되므로, 공급된 RF 전력으로부터의 맴돌이 전류(eddy current)를 픽업하지 않는다. 특히, 석영 표면이 고도로 절연되기 때문에, 석영 근처의 플라즈마 경계는 접지된 도전성 요소 근처의 플라즈마 경계에서 갖는 만큼 큰 전압 및 전류를 갖지 않는다. 이는 석영 근처의 플라즈마-보조 반응(plasma-assisted reaction)이 접지된 도전성 요소 근처에서만큼 높은 비율로 발생하지 않아서, 증착물이 감소된다는 것을 의미한다. 또한, 석영은 상당히 양호한 단열재(thermal insulator)이며, 따라서, 서셉터의 온도는 100 또는 200℃로(플라즈마로부터의 복사에 의해) 상승될 수 있다. 이는 분배기의 온도를 상승시키면 분배기상의 증착물이 보다 감소되기 때문에, 몇몇 소정의 처리를 위해 유리하다.
전형적인 RIE 작업 상태(10 내지 200 미크론의 압력 및 100 내지 800W의 인가 전력)하에서 발생된 플라즈마는 가동 전극(118)과 접지 전극(110) 사이의 챔버를 상당히 균일하게 충전시킨다. 따라서, 처리 가스 분배기(120)는 플라즈마의 가장 조밀한 부분 내로 돌출된다. 처리 가스 분배기(120)는, 가스 연결부(140, 제6도) 하부로 안내되는 중공 지지부가 기부 판(138)에 장착된, 처리되고 있는 웨이퍼 직경의 약 1/2인 링이다. 석영 처리 가스 분배기(120)에 신속 연결 장착부가 제공되어, 필요시 신속하고 용이하게 교환될 수 있다.
처리 가스 분배기(120)는, 예를들어 4cm 만큼 웨이퍼 표면으로부터 이격된다. 이 간격과, 처리 가스 분배기(120)의 정확한 형상과, 가스 분배기 상의 가스 공급 포트(122)의 간격은 중요하지 않다. 이들 변수는 필요시 변경될 수 있으나, 변경되는 경우에는, 처리 가스 분배기(120) 내의 가스 공급 포트(122)로부터의 처리 가스들 및 처리 가스 생성물의 확산에 의해 1) 웨이퍼(48) 면에서 플라즈마 경계로 처리 가스들 및 처리 가스 생성물의 확산이 주로 되는(diffusion-dominated) 이송, 및 2) 거의 웨이퍼(48)면까지 플라즈마 경계에서 처리 가스들 및 처리 가스 생성물의 상당히 균일한 농도가 제공되도록 이들 변수가 선정되어야 한다. 예를들어, 웨이퍼 면으로부터 이격된 처리 가스 분배기(120)의 간격은 1cm 내지 15cm의 범위일 수 있다.
이러한 저압 상태와,〔본 실시예에서 웨이퍼(48)의 면적과 원래 동일한〕플라즈마와 접하는 가동 전극(118)의 면적과 〔본 실시예에서 접지 전극(110)의 면적에 챔버(112)의 내부 면적 및 기부 판(138)의 노출된 상부 면적을 더한〕접지 전극의 면적 사이의 높은 면적비 하에서 고밀도 플라즈마 포격이 웨이퍼 면(54)이 발생하게 된다.
본 기술 분야에서 숙련된 자들에게 공지된 바와같이, 이러한 플라즈마 포격은 에칭중 바람직한 비등방성 효과(anisotropy effect)를 달성하는 것을 돕는다.
접지 전극(110)은 접지 전극(110) 내부의 매니폴드 공동(cavity)에 연결된 냉각제 라인(150, 제6도)을 사용하여 냉각될 수 있다. 부수적인 냉각이 필요한 경우, 챔버(112)도 냉각될 수 있다. 냉각제 라인(150)은 상술된 바와같이 전체 하부 에칭 챔버(112)의 수직 행정이 가능하도록 가요성 호수(hose)이다. 또한, 가스 연결부(140)를 통해 처리 가스 분배기(120)로 처리 가스를 공급하는 가스 공급 튜브(152)도 동일한 이유로 가요성이 있다. 이들 호스의 가요성이 잉여 입자를 발생시키는 것이 발견되면, 기부 판(138) 측면을 통해 벨로우즈(124) 외부의 가스 공급이 대신에 사용될 수 있다.
제8도는 제6도의 반응기의 평면도이다. 처리 가스 분배기(120)의 형상은 평면도에서 보다 명백히 알 수 있다. 또한 기부판(138)은, 가스 공급 포트(122, 제6도)로부터 하부의 진공 펌프까지의 통로(passage)를 제공하는, 접지 전극(110)의 엣지 주위의 상당한 공간을 포함한다. 이 반응기 내의 전체 가스 유동은 웨이퍼 면으로부터 이격하여 하향이며, 이는 입자들을 감소시키는 것을 돕는다. 다른 변형예에서, 챔버(112) 내에 원위치 진공 입자 계수기(in situ vacuum particle counter)를 사용하여, 주요 용적 내의 입자군의 어떠한 증가도 검출될 수 있으며, 입자 계수가 소정 레벨에 도달될 때까지 챔버(112)의 개방이 지연된다.
소정의 에칭 작업이 종료된 후, 처리 가스 분배기(120)를 통해 공급된 가스는 차단되고, 처리 모듈(104)은 나머지 처리 모듈과 동일한 압력(10-3Torr 이하)으로 펌핑 압력 강하된다. 이 때, 처리 모듈의 열 안정화 또는 가능한 부유 입자를 떨어뜨리기 위해 지속 시간이 유지될 수 있으며, 그 후, 처리 모듈(104)은 개방되어, 암(28)은 챔버(12)로부터 웨이퍼를 제거하도록 상술된 바와같이 작동된다. 챔버(12)와 암(28)의 위치는 제1도에 도시된 암(28)의 가장 우측의 위치이다.
상술된 모든 작업은 매우 용이하게 제어될 수 있다. 서브 또는 복잡한 음피드백(negative feedback) 기구가 전혀 필요치 않다. 기술된 모든 모티들은 간단한 스템퍼 모터들이며, 이러한 종류의 다수의 모듈들이 단일 컴퓨터 제어 시스템(206, 제10도)에 의해 제어될 수 있다. 전체 시스템의 기계적 안전성은, 즉, 웨이퍼 지지핀(53)의 테이퍼링에 의해, 웨이퍼 캐리어 내의 선반(60)의 기울기에 의해 그리고 진공 웨이퍼 캐리어(10)의 후방 벽 상의 접촉 평면(29)에 의해 제공된 사소한 위치 설정 에러의 고유 교정은, 사소한 에러의 누적을 방지하는데 도움을 주며 제어를 용이하게 한다.
이러한 단순한 제어의 장점은 부분적으로는 기계적 조절의 양호한 제어가 달성되기 때문에, 달성된다. 기술된 바와같이, 이송 암(28)에 대해 위치 조절 플랫폼(18)의 설치가 정확하고 영구적으로 측정될 수 있기 때문에 위치 조절 플랫폼(18)과의 진공 웨이퍼 캐리어(1))의 도킹은 하나의 기계적 조절 요소를 제공한다. 마찬가지로, 진공 웨이퍼 캐리어(10)는 각 차원으로 제어될 필요는 없으며, 단지 선반(60)의 위치 및 방향이 위치 조절 플랫폼(18)과 결합되는 진공 웨이퍼 캐리어(10)의 하부(또는 다른 부분)에 대해 정확히 알려지도록 제어될 필요는 있다. 상술된 바와같이, 이는, 진공 웨이퍼 캐리어(10)가 위치 조절 플랫폼(18) 상에 놓일 때까지 진공 웨이퍼 캐리어(10)가 내부로 활주하는 채널을 가짐으로써 달성되나, 그 외의 많은 다른 기계적인 구조가 대신에 사용될 수 있다. 여러 가지 형태의 전자 및 기계 감지기가 컴퓨터 제어 시스템(206)에 의한 더욱 양호한 제어 및 교정 작업을 위해 시스템의 위치 및 작동에 관한 정보를 제공할 수 있다.
마찬가지로, 원위치의 이송 암(28)과 웨이퍼가 처리 챔버 내부에 도킹되는 세 개의 핀(50)(또는, 그 밖의 다른 지지부 형태) 사이에 기계적 조절이 달성되어야 한다. 그러나, 이러한 기계적 조절은 간단한 일 회로 셋업되는 측정(one-time setup calibration)이어야 한다. 각도 위치 설정은 진공 웨이퍼 캐리어 자체에 의해 유지되며, 기술된 바와같이 문(14)이 폐쇄될 때마다 문 내부의 스프링 요소들은 진공 웨이퍼 캐리어(10)의 접촉 평면(29)에 대해 각 웨이퍼(48)을 압박할 것이다. 또는, 진공 웨이퍼 캐리어(10) 상의 별도 펌핑 압력 강하가 가능하도록, 진공 웨이퍼 캐리어(10)에 신속-연결 진공 피팅(fitting)이 제공될 수도 있다.
기술된 로드 록크 기구가 유용하게 될 수 있더라도 진공 웨이퍼 캐리어(10)와 함께 사용될 필요는 없다. 또한, 로드 록크는 내부가 대기압 상태인 웨이퍼 캐리어와 함께 사용될 수도 있다. 이는 다른 실시예이지만 본 명세서에서 참조된 1984년 8월 27일자, 바이머(Bimer)등에게 특허된 미합중국 특허 제4,609,103호에 기술된 것과 같은 종래 기술의 로드 록크 작동 보다 우수한 상술된 장점들을 갖는다.
상술된 진공 웨이퍼 캐리어(10)는 임의의 소정 수의 웨이퍼를 운반하도록 다양한 크기로 제조될 수 있다. 또한 이러한 종류의 진공 웨이퍼 캐리어(10)는 소정 수의 웨이퍼들을 최대한도로 운반하거나 또는 저장하도록 사용될 수 있다. 이는 장비 지원(equipment logistics)의 일정 및 순서에 추가의 융통성을 제공한다.
제5a도는 진공 웨이퍼 캐리어(10)를 각각 포함하는 두 개의 로드 록크가 네개의 처리 모듈(그중 하나 이상의 처리 모듈들이 처리 모듈(104) 또는 본 명세서에 기술된 다른 처리 모듈 또는 그 밖의 다른 적합한 모듈일 수 있다)을 포함하는 처리 스테이션(102)에 연결된 다른 실시예를 도시한다. 상술된 실시예와는 달리, 이송 암(28)이 진공 로드 록크 챔버(12)로부터 챔버간 이송 포트(30)를 통해 처리 스테이션(102) 내로 도달될 때, 이송 암은 두 개의 웨이퍼 스테이지(105) 중 하나의 스테이지에 웨이퍼(48)를 위치시킨다. 이들 웨이퍼 스테이지(105)는 핀(53)과 유사한 세 개의 핀 지지부 또는 두 개의 선반 지지부일 수 있으며, 또는, 이송 암(28)이 웨이퍼 없이 하강되어 지지부 상에 웨이퍼를 위치시킨 후 수축하기 위한 지지된 웨이퍼 아래의 공간이 있는 한 다른 기계적 구조를 가질 수 있다. 사용된 웨이퍼 지지부는 웨이퍼의 하부 표면 또는 엣지와 임의의 상당한 면적에 걸친 접촉이 아니라 선접촉되어야 한다.
다른 이송 암 조립제(106)가 처리 스테이션(102) 내부에 제공된다. 일반적으로, 이 이송 암 조립체는 챔버 내부에 사용된 이송 암(28)과 회전 가능한 이송 암 지지부(44)과 관형 지지부(46)와 유사하나, 약간 상이하다. 첫째, 로드 록크 내부에 사용된 이송 암(28)은 직선으로 웨이퍼를 이동시켜야 한다. 반면, 이송 암 조립체(106)는 처리 모듈(104)들 중 소정 모듈을 선택하도록 방사상으로 이동될 수 있어야 한다. 따라서, 추가의 자유도가 필요하다. 둘째, 이송 암 조립체(106)의 유효 범위(reach)는 로드 록크 내부에 사용된 이송 암(28), 회전 가능한 이송 암 지지부(44) 및 관형 지지부(46)와 동일할 필요는 없으며, 실제로는 이송 암 조립체(106)의 유효 범위는 처리 모듈(46)의 적절한 이격을 가능하게 하기 위해 보다 클 수 있다. 셋째, 이송 암 조립체(106)는 로드 록크 내에 사용된 이송 암(28)만큼 큰 상승 이동을 필요로 하지 않는다. 넷째, 도시된 구조에서, 이송 암 조립체(106)는 웨이퍼 평면에 놓인 세 개의 핀(50)들 중 하나의 핀을 갖지 않아서, 동일한 직경의 웨이퍼를 취급하는 경우에도 세 개의 핀(50)에 의해 한정된 원의 직경은 이송 암(28 및 128)에 대한 것과 동일하지 않다.
조립체(106)의 관형 지지부는 회정가능하게 제조될 수 있으며, 이러한 회전구동을 위한 제3모터가 제공된다. 조립체(106)의 이송 암(128)의 치수는 필요시 단순히 축소도는 확대(scale)될 수 있다. 이송 암 조립체(106)는 유용하게 이송 암 지지부(144) 상에 회전 가능하게 장착된 이송 암을 포함한다. 이송 암 지지부(144)는 관형 지지부(도시 되지 않음)에 피봇 가능하게 장착되며, 이송 암 지지부(144)에 고정된 내부 샤프트는 관형 지지부를 통해 하향 연장된다. 2 : 1 기어비를 갖는 내부 체인 구동부는 관형 지지부(146)와 이송 암 지지부(144) 사이의 소정의 차동 회전을 다른 차동 회전으로, 즉, 이송암 지지부(144)와 이송 암(128) 사이에서 보다 2배 이상의 차동 회전으로 변환시킨다. 이송 암 조립체(106) 아래에 장착된 암 구동 모터는 이송 암 지지부(144)에 고정되는 샤프트를 회전시키도록 연결된다. 암 회전 모터는 관형 지지부(146)를 회전시키도록 연결된다. 최종적으로는, 승강기 기구는 이송 암 조립체(106)의 수직 이동을 제공한다.
통상, 이송 암(128)은 통상 진공 웨이퍼 캐리어(10) 내의 이송 암에서와 같이 수직으로 분산된 다수의 웨이퍼 위치들 중 하나의 위치를 선택해야 할 필요는 없으며 단지 대체로 모두 동일 면에 있는 다수의 가능한 웨이퍼 모듈들로부터 웨이퍼를 집어올리거나 픽업 및 위치시키도록 사용되기 때문에, 이송 암 조립체(106)에 필요한 수직 이동은 통상 이송 암(28)에 필요한 수직 이동만큼 크지 않다. 따라서, 상술된 승강기 모터 조립체에 의해서가 아니라 공기 실린더에 의해 이송 암(128)의 수직 승강이 제어될 수 있다.
따라서, 이송 암 지지부(144)와 동시에 조립체(106)의 관형 지지부를 회전시킴으로써, 이송 암 조립체(106)는 연장되지 않고서 회전될 수 있다. 이송 암 조립체(106)가 소정 위치로 회전된 후, 이송 암 지지부(144)가 회전되면서 관형 지지부(146)는 고정되어 유지될 수 있으며, 이에 의해, 이송 암(128)이 암(28)에 관련하여 상술된 바와같이 연장된다.
따라서, 진공 로드 록크 챔버(12) 중 하나의 챔버로부터 이송 암(28)은 웨이퍼 스테이지(105) 중 하나의 스테이지 상에 처리될 웨이퍼(48)를 위치시킨다. 이송 암 조립체(106)는 회전되며, 필요시, 이송 암(128)이 웨이퍼 하부로 오도록 낮은 위치로 연장되며, 이송 암(128)이 웨이퍼(48)을 픽업하도록 승강되며, 원위치로 수축된다. 그 후, 이송 암 조립체(106)는 다시 회전되며, 이송 암(128)은 연장되어, 웨이퍼는 처리 모듈(104)들 중 하나의 모듈내의 웨이퍼 지지부 위에 또는 다른 웨이퍼 스테이지(105) 위에 위치된다. 이송 암 조립체(106)를 하강시킴으로써, 웨이퍼(48)는 처리 모듈(104) 내의 웨이퍼 지지부 또는 웨이퍼 스테이지(105)상에 위치될 수 있으며, 그후, 이송 암(128)은 수축될 수 있다.
처리 모듈(104)은 주 처리 스테이션(102)으로부터 밀봉되어, 웨이퍼의 별도의 단일-웨이퍼 처리가 개시될 수 있다. 한편, 이송 암(128 및 28)은 다른 작업을 수행할 수 있다. 처리 모듈(104) 내의 웨이퍼가 처리를 완료하였을 경우, 처리 모듈(104)은 처리 스테이션(102)의 내부와 동일한 저압으로 펌핑 압력 강하되어, 처리 모듈(104)이 개방될 수 있다. 이송 암 조립체(106)는 이 웨이퍼를 제거하여 웨이퍼를 웨이퍼 스테이지(105) 중 하나의 스테이지 또는 처리 모듈(104) 중 다른 하나의 모듈로 이송시키도록 작동될 수 있다.
이러한 실시예들의 장점은, 처리 모듈(104)들이 모두 동일한 작업을 하도록 구성될 수 있기 때문에, 처리 스테이션(102) 내에 충분한 수의 처리 모듈(104)이 있는 경우 처리 작업이 상당히 느리더라도 웨이퍼 이송-제한 처리량이 가능하며, 또는, 처리 모듈(104)들 중 다른 모듈에서 상이한 작업이 사용될 수 있다는 점이다.
즉, 이러한 실시예에서는 흡수된 오염물에 의해 또는 천연 산화물에 의해 야기된 처리 변수가 제거되기 때문에, 점점 바람직한 것으로 인식되는 순차적인 처리의 사용이 용이하게 된다. 예를들어, 옥시나이트라이드 폴리-폴리 캐패시터들의 완전한 원위치 제조(in situ fabrication)를 가능하게 하기 위해, 처리 모듈(104) 중 두 개의 모듈은 산화물 성장용으로, 하나의 모듈은 질화물 증착용으로, 또 하나의 모듈은 폴리실리콘 증착용으로 구성될 수 있다. 특히, 상이한 처리 모듈(104)에서 상이한 처리 단계의 제공은 어느 웨이퍼가 어느 기계로 가야하는지의 수동 식별에 의존하지 않고 적절한 작업을 프로그램함으로써 많은 로트(lot) 분할 및 처리 변경이 간단히 수행될 수 있다. 따라서, 샘플 처리 모듈(104) 중 다른 모듈 내에서 진행되는 다른 작업을 할 수 있는 능력이 추가의 처리 융통성을 제공한다.
전체 웨이퍼 이송 순서는 완전히 임의적이며, 필요에 따라 선정될 수도 있다.
예를들어, 하나의 진공 웨이퍼 캐리어(10)로부터의 웨이퍼들이 완전히 처리되어 그 진공 웨이퍼 캐리어(10)로 복귀될 수 있으며 방금 처리된 웨이퍼를 포함하는 진공 로드 록크 챔버(12)는 처리 스테이션(102)으로부터 밀봉되어 제거될 수 있어, 처리된 웨이퍼들로 가득한 진공 웨이퍼 캐리어(10)가 다른 진공 로드 록크 챔버(12)로부터 제거되는 동안 다른 진공 로드 록크 챔버(12) 내의 다른 진공 웨이퍼 캐리어(10) 내의 웨이퍼들이 처리될 수 있다. 또는, 이러한 구조의 프로그램성 및 무작위적인 순서는 필요한 어떠한 방식으로도 두 개의 진공 웨이퍼 캐리어(10) 사이에서 웨이퍼를 재위치(shuffle)시키고 교환하도록 사용될 수 있다.
또한, 이러한 구조는 두 개의 진공 로드 록크 챔버(12) 및 네 개의 처리 모듈(104)에 한정되지 않으며, 상술된 구조는 필요시 스테이션(102) 내의 다른 수의 처리 모듈(104) 또는 스테이션(102)에 부착된 다른 수의 진공 로드 록크 챔버(12)로, 또는 스테이션 내부의 하나 이상의 이송 암 조립체(106)를 사용하도록 확장(scale)될 수 있다.
이러한 구조는 웨이퍼 방향을 여전히 유지한다. 편평부(56)가 진공 웨이퍼 캐리어(10)의 배면상의 평면 접촉부를 향해 있으면서 웨이퍼들이 진공 웨이퍼 캐리어(10)내에서 운반되며, 웨이퍼는 편평부(56)가 스테이션(102)의 중심을 향하여 웨이퍼는 웨이퍼 스테이지(105) 상에 위치될 것이다. 이송 암 조립체(106)는 이러한 방향을 유지시켜, 웨이퍼(48)가 어느 한 진공 웨이퍼 캐리어(10) 내에 재위치될 때, 웨이퍼의 편평부(56)는 진공 웨이퍼 캐리어(10)의 배면 상의 접촉 평면(29)을 향하게 된다.
제5b도는 처리 모듈(104) 또는 다른 적절한 처리 모듈과 같은 본 명세서에 도시된 임의의 처리 모듈일 수 있는 세 개의 처리 모듈(554)을 갖는 처리 스테이션(550)을 도시한다. 처리 모듈(554)은 동일 종류의 처리 모듈일 수 있으며, 각 다를 수도 있으며, 또는 두 개의 처리 모듈은 동일하고 다른 처리 모듈은 다를 수도 있다. 제6도의 이송 암 조립체(106)와 유사한 이송 암 조리체(558)는 컴퓨터 제어 시스템(562)의 제어하에서 임의의 순서로 임의의 처리 모듈(554)들 사이에서 웨이퍼를 이송시키도록 되어 있다. 진공 로드 록크 챔버(565 및 566)는 제1도의 챔버(12)와 유사하다. 암(558)은 웨이퍼들〔제5b도에는 웨이퍼(48)만이 도시되어 있음〕을 제거하거나 또는 전달하기 위해 모듈(554) 및 챔버(565 및 566) 내에 도달될 수 있다.
컴퓨터 제어 시스템(562)은 모듈(554), 조립체(558), 및 챔버(565 및 566)에 필요한 제어 기능을 제공한다. 웨이퍼의 경로(routing)는 임의의 필요한 처리 모듈(554)들 사이에서 임의의 챔버(565 및 566)로부터 임의의 처리 모듈(554)로 그리고 임의의 처리 모듈(554)로부터 임의의 챔버(565 및 566)로 될 수 있다.
챔버(12, 제1도)에 관련하여 상술된 바와같이 임의의 챔버(565 및 566) 내의 처리 작업 전후에 로드 록크 및 처리 챔버의 작업을 제어하도록 폐쇄 루우프 입자 제어 시스템이 유용하게 제공된다.
제9도는 원위치 발생 자외선(ultraviolet light generated in situ)에 의한 처리 개선 능력을 포함하여 웨이퍼 면으로부터 웨이퍼 면까지 이격된 추가의 플라즈마 방출을 통한 가스 유동에 의해 발생된 활성 화학성분(activated species)을 제공하는 능력이 제공되는 제6도의 처리 모듈의 개량된 변형예를 도시한 것이다. 이 모듈은 하나의 모듈 및 하나의 진공 로드 록크만을 포함하는 처리 스테이션(570)으로 도시되었으나, 중심 취급 챔버는 다수의 처리 모듈(104)과 하나 이상의 진공 로드 록크 챔버(12)와 결합되는 제5a도 및 제5b도에 도시된 것과 같은 실시예에서 사용될 수 있다.
입자 감지기(202, 제9도)는 진공 로드 록크 챔버(12)의 내부에 연결되는 것으로 도시되어 있다. 이 입자 감지기(202)는, 입자 감지기(202)로부터의 신호가 진공 로드 록크 챔버(12)의 내부에 존재하는 입자의 레벨을 표시하는 한, 진공 웨이퍼 캐리어(10)의 도킹 위치에 매우 가까이 배치될 필요는 없다. 입자 감지기(202)는 펌프 배출 경로(pump out path 도시되지 않음)에서 진공 로드 록크 챔버(12)로부터 하류에 위치된다. 입자 감지기는 소정 시간 동안 계수된 입자의 수를 나타내는 출력 신호를 제공하는 계수기와 결합된(각 입자를 검출하는) 시판 중인 레이저 입자 계수기이다. 자외선 플라즈마 공간(202)에는 링(576)을 통해 예를들어, H2, Ar, 또는 He와 같은 자외선 빛 발생에 유용한 가스가 공급된다. 자외선을 발생시키도록 사용되는 전력의 주파수는, 예를들어, 100KHz 또는 13.56MHz일 수 있다. 모듈(570)은 분배기(212) 또는 공급기(250)을 통해 가스가 공급될 수 있는 처리 챔버(218)를 갖는다. 예를들어, 오존이 분배기(212)를 통해 공급될 수 있다. 투명 진공벽(283)에 의해 가열 모듈(572)로부터의 복사열이 아래의 웨이퍼(48)로 통과될 수 있다.
또한, 제9도의 처리 모듈 및 자외선 및 원격 플라즈마 능력(remote plasma capability)을 갖는 다른 처리 모듈을 가지고 이하의 처리가 수행될 수 있다.
모듈(570)을 가지고 사용될 수 있는 하나의 처리의 〔처리 챔버(218) 내로 직접 광학적으로 결합된〕 모듈(570) 내부에서 발생된 추가의 자외선 또는 원격 플라즈마 챔버(254)로부터 원격 발생된 플라즈마 또는 이들 모두를 사용하여 폴리실리콘을 증착시키는 것이다. 실란(silane) 가스가 처리 챔버 내로 공급된다. 원격 플라즈마가 사용되자 않으면, 실란 가스 분배기(212)를 통해 챔버(218) 내로 공급될 수도 있다. 챔버는 증착 온도로 유지되어야 한다. 웨이퍼가 챔버(218)에 배치된 후, 예를들어 N2와 같은 웨이퍼 및 그 위의 노출층과 비반응성인 적절한 가스를 사용함으로써 필요시 세척(purge)이 수행될 수 있다. 이러한 처리의 예는 다음과 같다.
웨이퍼가 챔버 내에 위치된다. 챔버는 진공화되며 N2로 세척된다. (일반적으로, 챔버 내에서 사용 가능한 압력은 0.1Torr 내지 750Torr 사이이다.) 원격 플라즈마가 실란 가스로부터 챔버(254) 내에서 발생된다. 원격 플라즈마는 챔버(218)내로 웨이퍼(48)의 하향 면(54)으로 공급된다. 예를들어 550℃ 내지 700℃의 증착 온도로 가열된다. 100KHz 주파수의 300W의 전력을 사용하여 링(576)을 통해 공급된 예를들어 H2, Ar 또는 He와 같은 내부의 가스를 여기시킴으로써 공간(220)으로부터 챔버(218) 내로 추가의 자외선 에너지가 결합된다. 이 반응식은 다음과 같다.
SiH4SIH2+ Si2H6폴리실리콘 + H2
여기서, 빛은 분자 여기 레벨을 증가시킴으로써 증착을 촉진시킨다. 가스와 열이 차단되며 챔버가 필요시 적절한 가스로 다시 세척된다. 그후, 웨이퍼가 제거된다. 그후, HCI 및 HBr의 혼합물로 형성된 원격 플라즈마를 사용하여 필요시 클리닝 단계가 수행될 수 있다.
다른 유용한 처리는 실리콘 나이트라이드(silicon nitride)의 증착이다. 질소 공급원이 원격 플라즈마를 발생시키도록 사용된다. 국부적으로 발생된 자외선 에너지는 상술된 바와같이 처리 챔버 내로 결합된다. 예를들어, 디클로로실란(DCS, dichlorosilane)과 같은 실리콘 공급원의 가스 혼합물이 처리 챔버 내로 그리고 웨이퍼의 면(54)으로 공급된다. 혼합된 원격 플라즈마 및 결합된 자외선 에너지는 증착 속도를 인용 가능한 레벨로 상승시킨다. 샘플 처리는 다음과 같다.
1. 웨이퍼의 면을 밑으로 하여 처리 챔버 내로 웨이퍼를 배치시키며, 챔버를 폐쇄시킨다.
2. 처리 챔버를 진공화시킨 후 필요시 예를들어 N2와 같은 적절한 가스로 세척한다.
3. 처리 챔버 내로 공급된 DCS 및 예를들어 N2또는 NH3와 같은 질소 공급원의 가스 혼합물로부터 원격 플라즈마를 발생시킨다.
4. 예를들어 550℃ 내지 800℃인 증착 온도로 처리 챔버를 가열한다.
5. DCS의 분자 여기 레벨을 증가시키기 위해 처리 가스에 의해 흡수되는 처리 챔버 내로 결합되는 자의선 에너지를 발생시킨다.
6. 가스 유동을 중지시키며, 가열하며, 예를들어 N2와 같은 적절한 가스로 챔버를 세척한다.
7. 처리 챔버를 개방시키며, 처리 챔버로부터 웨이퍼를 제거한다.
8. 예를들어 CF4및 O2와 같은 가스 혼합물을 사용하여 처리 챔버를 클리닝한다.
본 명세서에서 기술된 클리닝 작업 중, 처리 챔버는 폐쇄될 수 있다.
처리 모듈(570)은 순차적으로 유기물을 제거하고, 금속 오염물을 제거하고, 천연 산화물을 제거하고, 산화시킨 후, 형성된 산화물 막 위에 차폐물을 증착시킬 수 있다. 이러한 처리의 예는 다음과 같다.
1. 저압에서 처리 챔버 내로 웨이퍼를 배치시킨다.
2. 추가의 자외선 빛을 사용하여 웨이퍼로부터 유기 화합물을 제거하고, 챔버 내로 오존을 공급한다.
3. 할로겐화물 및 산소를 사용하여 금속 오염물을 제공한다.
4. 예를들어 무수(anhydrous) HF 기술과 같은 불소의 화학적 성질을 이용하여 이전 단계에 의해 발생된 천연 산화물을 제거한다.
5. 챔버를 진공화시킨 후, 예를들어 N2또는 Ar과 같은 웨이퍼 및 그 위의 노출 층들과 비반응성인 적절한 가스를 사용하여 예를들어 700Torr의 보다 고압에서 챔버를 세척한다.
6. 예를들어 O2와 같은 산화제(oxidizing source)를 공급함으로써 상기 웨이퍼 상에 또는 적어도 웨이퍼의 일부분 상에 산화물 막을 형성하며, 예를들어, 벽(238)을 통해 복사열을 제공하도록 가열 모듈(572)의 램프(574)를 작동시킴으로써 웨이퍼를 가열시킨다.
7. 예를들어 산화제를 차단시킴으로써 어닐링(anneal) 작업을 수행하며, 필요시에 열을 차단하고 웨이퍼를 냉각시키는 어닐링 작업 후 N2또는 Ar로 세척한다.
8. 필요시 세척 작업을 사용하여 습기를 제거한다.
9. 챔버를 진공화시키며, 예를들어 750Torr 내지 0.1Torr의 보다 저압으로 예를들어 N2또는 Ar과 같은 적절한 가스로 챔버를 세척한다.
10. 챔버 내로 증착용 가스를 공급한다.
예를들어, 폴리실리콘 또는 실리콘 나이트라이드를 증착시키기 위해 실란이 사용될 수 있다.
11. 예를들어 550℃ 내지 700℃의 증착 온도로 웨이퍼를 가열한다.
12. 여기 레벨을 증가시키기 위해 추가의 자외선을 발생시킨다.
13. 열 및 증착 가스를 제거하고, 예를들어 N2또는 Ar과 같은 적절한 가스로 챔버를 세척한다. 실리콘 나이트라이드와 같은 다른 물질이 증착될 수 있다.
14. 처리 챔버로부터 웨이퍼를 제거한 후, 다음 웨이퍼가 들어오기 전에 챔버를 클리닝하기 위해 원격 플라즈마를 사용한다.
여러 가지 상기 단계 및/또는 부분은 필요시 입자 처리에 의해 생략될 수 있다.
제9도의 처리 모듈(570)용으로 유용한 다른 처리는 실리콘 디옥사이드의 증착이다. 웨이퍼는 처리 챔버내로 배치된다. 챔버는 진공으로 된 후 필요시 예를들어 N2와 같은 적절한 가스로 세척된다. 압력은 0.1Torr 내지 750Torr 사이에서 변화될 수 있다. 예를들어 N2O 또는 O2와 같은 산소 공급원(oxygen source)이 챔버(254) 내에서 여기되어 원격 플라즈마를 발생시킨다. 예를들어 실란 또는 디실란과 같은 실리콘 공급원은 챔버(254) 또는 분배기(212)로부터 챔버(218) 내로 공급된다. 오존 분배기(212)를 통해 챔버(218) 내로 공급된다. 웨이퍼는, 예를들어 200℃ 내지 500℃ 사이로 가열된다. 자외선이 상술된 공간(220)내에서 발생되어 상술된 여기를 제공한다. 증착이 수행된 후, 가스와 열이 차단되며, 필요시 챔버(218)는 다시 세척될 수 있다. 웨이퍼가 제거된 후, 예를들어 CF4및 O2로 형성된 원격 플라즈마를 사용하여 챔버가 클리닝될 수 있다. 압력은, 예를들어 0.1Torr 내지 750Torr일 수 있으며, SiH4: O2비율은, 예를들어 1 : 5 일 수 있다.
본 명세서에 기술된 실시예들 중 한 종류의 실시예는 불소 공급원 가스 화합물 또는 선택적으로 무수 HF 및 큰 비율의 산소를 모두 포함하는 원료 가수 유동의 활성 생성물이 웨이퍼 표면으로부터 이격된 플라즈마 방전으로부터 하류의 웨이퍼 표면을 가로질러 유동하게 되는 디글레이즈(deglaze) 처리를 제공한다. 본 실시예는 실리콘을 선택적으로 부식시키지 않는 건식 디글레이즈 처리가 제공된다는 장점을 갖는다. 본 실시예는 디글레이즈 처리가 다음 처리 단계와 순차적으로 용이하게 결합된다는 다른 장점을 갖는다. 예를들어, 원 위치 디글레이즈(in situ deglaze)는 천연 산화물(native oxides)을 제거하고 후속 증착 단계를 위한 클린 인터페이스(interface)를 보장하기 위해 사용될 수 있다. 제9도에 도시된 처리 모듈(570)은 자외선을 작동시키지 않고서 사용될 수 있으며, 또는 다른 처리 모듈에서 공간(220), 링(576), 및 공간(220) 내의 자외선 발생에 관련된 다른 부품 없이 구성될 수 있었다.
디글레이즈 처리는 다음과 같이 성공적으로 입증되었다. 3000sccm의 He와 2000sccm의 O2와 250sccm의 CF4의 처리 가스 유동이 400W 방전을 통과하였으며, 산화물 상의(실리콘 상의) 폴리실리콘과 비교할 때 열산화물을 사용하여 측정된 3 : 1의 산화물 : 폴리실리콘의 선택성(selectivity)을 제공하는 것으로 알려졌다.
산화물 에칭 속도는 실온에서 단지 7Å/분이나, 이 속도는 보다 높은 온도를 사용함으로써 용이하게 증가될 수 있다.
따라서, 이 점에서 본 발명의 원리는 매우 높은 산소 분율(oxygen fraction)이 원격 방전을 통과한 가스 유동을 사용하여 디글레이즈를 수행하는데 유리하게 사용될 수 있다는 점이다. 이러한 높은 산소 분율의 도입은 폴리실리콘의 에칭 속도를 하강시킴에 의해 선택성을 향상시키는데 도움을 준다. 추가의 플라즈마 포격은 선택성을 높게 허용하지 않기 때문에 이들 가스 유동들은 원격 플라즈마 없이는 양호하게 작용하지 못한다.
제공된 처리에는 본 발명의 원리에 따라 여러 가지 방식으로 변형될 수 있다. 예를들어, 보다 높은 (산화물 : 실리콘) 선택성을 얻기 위해, 보다 높은 분율의 O2가 사용될 수 있다. 보다 높은 CF4유동을 사용함으로써 어느 정도 높은 속도가 얻어질 수 있다. 또한, 높은 온도는 이 속도를 증가시킬 것이다. 2.5Torr 전체압력이 광범위하게 변화될 수 있다.
관심을 끈 다른 실시예는 예를들어, 250℃의 기층 온도에서 활성 화학 성분을 발생시키기 위해 가스유동에 인가된 예를들어 400W의 RF 전력으로, 예를들어, 2.5Torr의 전체 압력에서, 예를들어 3000seem의 H2와 3000sccm의 O2와 150sccm의 CF4의 처리 가스 유동을 갖는, 제23도에 도시된 것과 같은 반응기를 사용하는 것이다.
제10도는 제9도에 도시한 것과 같은 단일 처리 모듈(204)을 사용하는 샘플 시스템의 실제 구성을 도시한 것이다. 로드 록크 뚜껑(20)과 웨이퍼 이송 기구 및 처리 모듈로부터 진공 로드 록크 챔버(12)를 분리시키는 분리 출입구(31, 제4도)를 포함하는 처리 모듈(204)의 작동은 예를들어 8088-계 PC(예를들어, Texas Instrumente Professional Computer)일 수 있는 컴퓨터 제어 시스템(206)에 의해 모두 제어된다. 이 컴퓨터 제어 시스템(206)은 처리 스테이션에서 수행된 모든 처리에 대한 제어 논리(control logic)를 제공한다. 처리 메뉴는 키보드(keyboard)에서 발생되어, 메모리에 저장되며, 이러한 컴퓨터 제어 시스템(206)에 의해 자동적으로 수행될 수 있다. 따라서, 예를들어 컴퓨터 제어 시스템에 의해 진공 웨이퍼 캐리어(10)의 개방이 허용되는 것보다 적은 입자 수가 프로그램될 수 있다.
제11도는 이러한 컴퓨터 제어 시스템의 작동을 위한 흐름도를 도시한다. 제어 논리는 단계(800)에서 시작하여, 단계(802 및 804)로 들어가도록 진행된다. 진공 웨이퍼 캐리어 (10)가 적재되고 로드 록크 뚜껑(20)이 단계(800)에서 폐쇄된 것으로 검출된 후, 초벌 범프 분리 밸브(702)는 단계(804)에서 개방된다. 질소 분리 밸브(703)는 단계(802)에서 개방되어 상술된 바와같이 매니폴드(22, 제1도)를 통해 챔버(12)를 가스 세척하도록 챔버(12, 제1도)내로 질소를 공급한다.
그 후, 제어 논리는 동시에 수행될 필요는 없는 단계(802 및 804)로부터 단계(806)와 단계(808)로 진행한다. 단계(808)에서, 컴퓨터 제어 시스템(206)은 압력을 감시하며 게이트 또는 분리 밸브(39)를 차단(throttle)하여 적절히 제어한다. 로드 록크는 적당한 진공으로 펌핑 압력 강화된다. 로드 록크는 여전히 웨이퍼 캐리어 내부의 압력보다 높은 압력 상태이므로, 진공 웨이퍼 캐리어 문(14)은 아직 개방되지 않는다.
단계(806)에서, 입자 감지기(202)를 포함하는 로드 록크 입자 계수기(850)가 입자의 레벨이 허용할 만큼 낮다는 것을 표시할 때까지 압력은 적절한 레벨로 유지된다. 계수기에 의해 검출된 계수가 적합한 계수가 아니면, 논리(루우프)는 단계(806)으로 간다. 적절한 계수(예를들어 0)가 검출되면, 논리는 루우프를 나와서 단계(810)로 들어간다. 단계(810)에서, 입자가 소정 시간(예를들어 60초) 동안 전혀 검출되지 않으면, 진공 웨이퍼 캐리어(10)가 로드 록크 내에 적재될 때 비정상적으로 높은 농도의 입자들이 유입되는 경우, 입자 오염의 위험이 상술된 폐쇄 루우프 제어 시스템 하에서 사라질 때까지 시스템은 웨이퍼를 오염물로 노출시키지 않는다.
입자 감지기(202 및 208, 제9도)가 소정 시간 동안 입자가 없는 것을 검출한 후, 논리는 단계(810)를 나와서 단계(812 및 814)로 진행한다. 분리 밸브(702)는 단계(812)에서 완전히 개방된다. 단계(814)에서, 질소 공급원으로부터의 분리 밸브(703)가 폐쇄된다. 그후, 논리는 단계(816)로 진행한다. 논리가 단계(816)에 있는 동안, 분리 밸브(707)는 개방되며, 챔버(12) 내의 압력은 더욱 감소된다. 논리는 단계(816)를 나와서 단계(818)로 들어간다. 챔버(12) 내의 압력은 단계(818)에서 감시되며, 압력이 소정 레벨에 도달하지 못할 경우, 논리는 단계(820)로 들어간다. 단계(820)에서 분리 밸브(707)의 스로틀이 조절되며, 논리는 단계(818)로 다시 들어간다.
압력이 소정 레벨에 도달할 때, 논리는 단계(818)를 나와서 단계(822)로 들어간다. 단계(822)에서, 문(14)은 개방된다.
소정의 시간 후 입자 레벨이 비정상적으로 매우 높은 레벨로 유지된 경우 제어 논리에서의 다른 브랜치(branch)가 다른 세척 싸이클을 수행하도록 추가될 수 있다. 따라서, 제품을 품질 악화시키지 않으면서 이러한 폐쇄 루우프 입자 제어 시스템은 높은 주위 입자 레벨 기간 동안 입자 유입의 위험성이 최소화되는 것을 보장한다. 또한, 폐쇄 루우프 입자 제어 시스템은 수동 제어 시스템의 작업 순서에서의 에러에 의해 야기될 수 있는 사고로 인한 오염을 방지한다.
또한, 컴퓨터 제어 시스템(206)에 의해 펌핑 시스템이 작동 압력으로 펌핑 압력이 강하되며, 문(14, 제1도)이 개방될 때 존재하는 입자의 레벨이 제어된다. 진공 웨이퍼 캐리어(10)의 문(14)은 상술된 바와같이 샤프트(24)를 회전시킴으로써 개방된다. 예를들어, 제31도의 계수기(850)와 같은 원위치 입자 계수기(in situ particle counter)가 고전압 진공-간격 캐패시터 내의 전하 이송을 측정하기 위한 공진 회로를 사용하여, 또는 (입자들이 상당히 많은 경우에) 여러번 꺾인 광학 경로를 갖는 레이저-구동 광학 공동(laser-driven optical cavity)을 사용하여, 또는 다른 수단에 의해 구성될 수 있다.
분리 출입구(31, 제3도)는 처리 모듈애로 웨이퍼(48)를 갖는 암(28)의 통과가 가능하도록 개방될 수 있다. 이 이중 억제 논리는, 진공 로드 록크 챔버(12) 내의 입자 레벨이 허용 가능하게 낮은 레벨로 측정될 때까지 진공 웨이퍼 캐리어 문(14) 또는 처리 모듈 내로의 분리 출입구(31)가 개방될 수 없기 때문에 유용하다. 이들은 별개의 기술이며, 이들 모두 개별적으로 사용될 수 있지만, 두 가지가 함께 사용되는 경우 상승 효과(synergistic advantages)를 얻을 수 있다. 그 후, 웨이퍼(48)는 웨이퍼 이송 암(28)에 의해 진공 웨이퍼 캐리어(10)로부터 제거될 수 있다. 컴퓨터 제어 시스템(206, 제10도)은 프로그램될 수 있는 임의의 무작위 순서로 각 웨이퍼(48)를 제거하거나 또는 교체하도록 이송 암(28)를 제어한다. 웨이퍼(48)는 작동되는 회로 부품들을 포함하는 면이 하향되어 이송된다.
또는 초기 펌핑 압력 강하 전에 질소 샤워(shower)를 제어하기 위해 다른 입자 계수기가(또는, 보다 고압에서 양호하게 입자를 감지하도록 된 입자 감지기)가 사용될 수 있다. 즉, 간단하게 일정 기간 동안 질소 샤워를 행하는 대신 비정상적으로 오염된 환경에 있다는 것을 입자 감지기가 나타내는 경우 질소 샤워가 보다 오래 지속될 수 있다. 하향 유동을 발생시키기 위해, (초벌 펌프를 사용하여) 로드 록크를 약한 진공상태로 펌핑 압력 강하시킨 후, 질소 샤워 포트를 통해 가스를 누출시키는 것이 바람직할 수도 있다. 또한, 로드 록크가 소정의 약한 진공 압력에 도달했을 때 입자 감지기가 입자 레벨이 여전히 과도하다는 것을 표시하는 경우, 다른 질소 샤워 싸이클을 개시함으로써 약한 진공 상태(예를들어, 100mTorr 정도)로부터 대기압까지 로드 록크를 다시 순환시키는 것이 바람직할 수도 있다.
제9도에 도시된 입자 감지기(208)는 처리 모듈의 내부에 연결되며 다른 억제 논리(inhibit logic)를 제어하기 위해 사용된다. 진공 처리 시스템에서 발생하는 다량의 입자는 수행되고 있는 실제 처리에 의해 발생된다. 변형예로서, 이들 오염원로부터의 입자 오염을 감소시키기 위해, 입자 감지기(208)가 모듈 내부의 입자들의 허용 가능하게 낮은 레벨을 표시할 때까지 웨이퍼(48)가 처리된 후에도 예를들어 처리 모듈(570, 제9도)과 같은 처리 모듈로의 분리 출입구(31, 제3도)는 개방되지 않는다. 즉, 이는 방금 기술된 다른 기술과 별개로 적영될 수 있는 다른 특징이지만, 두 가지가 함께 사용되면 상승 효과를 얻을 수 있다.
제12도는 처리 화학 작용의 자외선 개선 능력(capability for ultraviolet enhancement of process chemistries)를 제공하는 제9도에 도시된 처리 모듈(570)과 같은 처리 모듈에 대한 일 변형예의 상세도이다. 본 실시예의 성능은 많은 종래 반응기 구성으로 양호하게 실시될 수 있지만, 기술된 특징이 이와 관련한 특정한 장점을 제공하기 때문에 이러한 형태의 처리 모듈에 관련하여 이러한 성능을 설명하기로 한다.
제12도는 자외선 개선 진공 처리 모듈(590)에 대한 일 실시예를 도시한다. 처리 가스 분배기(212)는 처리 파이프(216)에 의해 공급되며, 상부 챔버(218) 내의 링 하부의 개구를 통해 처리 가스의 하향 유동을 발생시킨다. 분배기(212)는 분배기(212)에 하향되어 세 개의 지지 핑거(214, finger)(하나의 핑거만이 도시됨)에 의해 지지된 웨이퍼(48)의 웨이퍼 면(54) 근처에서 상부 챔버(218)에 처리 가스의 하향 유동을 제공한다. 지지 핑거(214)는 제3도의 핀(53)과 유사하다. 이 세 개의 지지 핑거(214)들은 석영 또는 다른 고순도 유전성 재질로 제조된다.
처리 가스 분배기(212)는 처리되는 웨이퍼(48)의 직경의 1/2정도의 링이며, 처리 파이프(216)로 통한 중공 지지부를 갖는다. 처리 가스 분배기는 웨이퍼(48)로부터 수 cm,(예를들어 4cm) 이격되어 위치된다. 처리 가스 분배기(212)의 정확한 치수는 중요하지 않는다. 이들 변수는 필요시 변경될 수 있으나, 변경 시에는, 처리 가스 및 처리 가스 생성물의 농도가 전체 웨이퍼 면(54)에 대해 상당히 균일하게 되도록 선정되어야 한다. 예를들어, 웨이퍼(48)로부터의 처리 가스 분배기(212)의 간격은 1 내지 15cm 범위에서 임의로 될 수 있다. 처리 가스 분배기(212)를 통해 제공된 처리 가스는 원격 플라즈마에 의해 발생된 활성 화학 성분을 포함하는 혼합물을 포함하는 몇 가지 다른 종류일 수 있다.
웨이퍼 면(54) 상의 박막(thin film) 물질과 이들 처리 가스의 반응은 상부 챔버(218) 아래에 위치된 자외선 플라즈마 공간(220)에 의해 방출된 자외선에 의해 개선된 처리가스의 제2유동은 자외선 플라즈마 공간 또는 하부 챔버(220) 내로 파이프(230)에 의해 공급되어 오리피스(orifice, 222)로부터 하부 챔버(220)내로 제공되며, 플라즈마는 전방 전극(224)에 인가된 RF 전력에 의해 발생된다. 공급된 가스는, 예를들어 H2, Ar 또는 He일 수 있다. 전방 전극(224)은 자외선의 통과가 가능하도록 천공되어 있으나, 자외선이 투과될 정도의 성분 및 두께로 제조될 수도 있다. 이 플라즈마를 위한 접지 전극들은 구성 금속 요소에 의해 그리고 처리 모듈의 금속 벽(228)에 의해 제공된다. 자외선을 발생시키기 위해 전극에 인가된 전력의 주파수를 예를들어 100KHz 또는 13.56MHz일 수 있다. 본 실시예에서 단면이 거의 H형이며, 거의 원통형 외부 면을 갖는 석영 배플(232)은 상부 챔버(218)내의 가스 유동으로부터 자외선 플라즈마 공간(220) 내의 가스 유동을 분리시킨다. 따라서, 두 개의 챔버(218 및 220)는 별개의 가스 유동을 가질 뿐만 아니라, 상부 챔버(218)는 배플(232)의 상부와 웨이퍼(48) 사이의 개구(234)를 통해 배기되며, 자외선 플라즈마 공간(220)은 베플(232)의 하부와 석영 판(592) 사이의 개구(236)를 통해 배기된다. 챔버(218) 및 공간(220)은 압력차가 배기 공간 내의 역류를 야기시키지 않는 한 상이한 압력에서 작동될 수 있다.
웨이퍼가 세 개의 지지 핑거(214) 상에 위치되며 모듈이 폐쇄된 후, 플라즈마를 발생시키기 위해 전력이 전방 전극(224)에 인가될 수 있으며, 자외선 플라즈마의 발생에 적절한 가스가 파이프(230)를 통해 자외선 플라즈마 공간(220)으로 공급된다. 적절한 가스는 N2, H2, O2및 다른 화합물들을 포함한다. 특정 적용예에 필요한 자외선 스펙트럼과 정합하도록 특정 가스가 선정될 수 있다. 예를들어, 50W의 특정한 챔버 형상 및 구조에 대한 최소 전력보다 큰 전력으로 적절한 가스 또는 적절한 가스의 혼합물 및 적절한 압력을 사용함으로써 자외선 광원 플라즈마(ultraviolet-source plasma)가 발생될 수 있다.
제12도에 도시된 실시예에서, 웨이퍼(48)의 배면은 투명 진공 벽(238) 근처에 있으며, 진공 벽으로부터 약간 이격되어 지지된다. 특히, 이들 특징은 보다 상세히 후술되는 급속 열처리(RTP, Rapid Thermal Processing) 성능을 갖는 실시예에 관한 것이다.
제12도에 도시된 실시예에서, 석영 배플(232)은 수평으로 도시되어 있으며 대체로 자외선을 투과시키는 부재(239)를 포함한다. 부재(239)는 배플(232)의 H형 단면의 크로스바(crossbar)를 형성한다. 이러한 자외선 투과 창은 석영 또는 사파이어 또는 다른 유사한 물질로 제조될 수 있다.
또는, 가스 유동의 완전한 분리가 필요하지 않은 경우, 특히, 매우 짧은 파장 작업이 필요한 경우, 부재(239)는 고형(solid)이 아니라 천공되어 제조될 수 있으며, 또는 완전히 제거될 수 있다. 이는 제13도에 도시되어 있다. 처리모듈(600)은 제12도의 처리 모듈(590)과 유사하다. 가스 분배기(602)는 제12도의 분배기(212)와 유사하다. 석영 배플(604)은 원통 형상이다(제13도에는 두 개의 장방형으로 도시됨). 상부 챔버(605)로의 처리 가스는 가스 분배기(602)를 통과하며, 자외선 플라즈마 공간(607)은 파이프(609)를 통과한다. 전방 전극(612)은 제12도의 전방 전극(224)과 유사하다. 그러나, 석영 배플(232,제12도) 내의 크로스바가 석영 배플(604) 내에 존재하지 않기 때문에, 공간(607) 내의 처리 가스는 챔버(605) 내의 처리 가스와 혼합될 수 있다.
제14도는 처리 모듈[590(제12도) 및 600(제13도)]과 약간 유사한 처리 모듈(620)을 도시한다. 제14도에서, 자외선 플라즈마 공간(220) 내의 플라즈마는 거의 동심(concentric)원통 형상인 두 개의 전극(244 및 246)에 의해 구동된다. 또한, 자외선 플라즈마 공간(220)내의 가스 분배기(248)는 제12도의 파이프(230)와 상이하다. 제14도의 석영 배플(232)은 H형이다. 또한, 처리 모듈(620)은 후술되는 바와같이, 원격 플라즈마에 의해 발생된 화합물들을 제공하도록 사용될 수 있는 제3가스 공급기(250)를 포함한다. 가스 공급기(250)에는 자외선 플라즈마 공간(220)내로 가스를 제공하는 공급기(256) 및 상부 챔버(218) 내의 링인 가스 분배기(212)가 추가된다. 또한, RF 가동되는 서셉터(252)가 투명 진공 벽(238)역할을 하므로, 플라즈마는 웨이퍼 면(54)의 부근에서 발생될 수 있다. 전극(244)는 공급기(250)와의 슬립 끼워맞춤(slip-fit)을 형성한다. 이 슬립 끼워맞춤은 밀봉되지 않으며 하향으로 통풍된다
본 명세서에서, 플라즈마가 웨이퍼에 근접해 있다는 것은 플라즈마의 엣지에서 암흑부(dark space)에대한 DC 바이어스가 웨이퍼 면의 상당한 플라즈마 포격을 발생시키기에 충분할 정도로 플라즈마가 웨이퍼에 가깝다는 것을 의미한다. 포격의 정도는 압력, 전력 레벨 및 어느 정도로 가스 유동성분에 의해 제거되는 DC 바이어스의 양에 따라서 많아지거나 또는 적어진다.
제14도는 웨이퍼 면(54)으로부터 이격된 플라즈마에 의해 발생된 활성 화학성분을 위해 제공된 별도의 공급 경로를 도시한 것이다. 이러한 종류의 실시예에서, 직접 회로 웨이퍼(48)가 웨이퍼로부터 이격되어 있으나 웨이퍼(48)의 상류 처리 가스 유동 내에 있는 제1플라즈마에 의해 발생된 활성 화학 성분에 노출되며 웨이퍼 표면에 대체로 접한 암흑부를 갖는 제2플라즈마에 의해 발생된 플라즈마 포격에도 노출될 수 있도록, 처리 모듈이 구성된다. 원위치 플라즈마(in situ plasma)는 비교적 낮은 전력(low-power)이므로 원격 프라즈마가 활성 화학 성분을 발생시킬 수 있으며, 따라서, 플라즈마 포격 에너지를 최적화시키도록 원위치 플라즈마 전력 레벨 및 주파수가 조절될 수 있다.
특히, 상술된 실시예들은 저출력 원위치 플라즈마와 가스 공급기 내의 원격 플라즈마와의 결합으로부터 특정한 장점을 갖는다. 원격 플라즈마 사용은 높은 밀도의 활성 화학 성분이 웨이퍼 표면에 제공될 수 있다는 것을 의미하며, 저전력 원위치 플라즈마의 사용은 플라즈마 포격 에너지 및 플럭스(flux)를 소정 정도의 비등방성(anisotropy)을 유도하는데 필요한 정도로만 제한하면서 비등방성 에칭을 하기위해 충분한 플라즈마 포격이 제공될 수 있다는 것을 의미한다. 이에 의해 과도한 플라즈마 포격 에너지로 인해 야기될 수 있는 손상이 용이하게 방지될 수 있다. 또한, 이에 의해 반응의 화학 작용이 미조정(fine-tune)될 수 있다. 플라즈마 포격이 비등방성을 제공하기에 충분할 정도로 표면 화학 조성(chemistry)을 변화시키게 하는 것이 바람직하지만, 임의의 프라즈마 에칭 처리상의 두 개의 다른 주요 제약은 외부 증착의 제어 및 선택성이며, 모든 이들 조건을 최적화시키기 위한 화학 조성의 선택은 매우 제약을 받을 수도 있다. 포격 조건을 독립적으로 최적화시킬 수 있는 성능은 후술된 몇가지 특수한 예가 입증하는 것과 같이, 최적화된 화학 조성을 발생시킬 때 유리하다. 특히, 저-포격 상태 하에서 고밀도 활성 화학 성분을 제공하는 성능은 저-포격 상태 하에서 높은 시스템 효율로 처리가 수행될 수 있다는 것을 의미하며, 이는 본 명세서에서 개시된 처리 모듈 전에는 용이하게 달성될 수 없었다. 원위치 플라즈마를 위한 저전력 플라즈마를 사용하는 다른 장점은(레지스트에 대한 선택성을 악화시키는) 웨이퍼 가열이 최소화될 수 있다는 점이다.
특정 용도에서, 원격 플라즈마는 300W 이상에서 작동하며, 원위치 플라즈마는 100W 이하에서 작동하나, 예를들어 구리 막으로 도핑(doped)된 알루미늄인 경우에 보다 높은 전력에서 작동하는 것이 유리할 수도 있다. 따라서, 원격 플라즈마는 원위치 프라즈마에 제공된 전체 전력 레벨보다 네배 이상 높은 전력 레벨에서 작동될 수 있다. 다른 변형예에서, 원위치 플라즈마는 25W 만큼 낮은 전력 레벨에서 작동될 수도 있다. 감소된 플라즈마 포격 에너지의 장점은 부분적으로는 저전력의 달성과는 무관하다. 따라서, 원위치 플라즈마는 250V 이하의 DC 바이어스에서 작동될 수 있으며, 예를들어 통상의 레벨은 25V 내지 1000V 범위내에 있을 수 있다.
제9도 및 제32도는 이러한 능력을 갖는 처리 모듈의 사시도이다. 제9도에서, 원격 플라즈마 챔버(254)는 석영 출력 튜브(256)에 의해 처리 모듈에 연결된다.
제15도는 원격 플라즈마 챔버를 도시한다. 예를들어 2.45㎓에서 작동하는 마그네트론(264)은, 예를들어 양극 산화 처리된 알루미늄으로 제조되고 약 3.81cm(1.5in)×약 7.62cm(3in)×약 22.86cm(9in)의 치수를 갖는 공진 공동(260)에 직접 결합된다. 가스 입력 튜브(266)는 소정의 처리 가스 유동을 제공하기 위해 하나 이상의 질량 유동(mass fiow) 제어기에 연결되며, 공진 공동(260)을 통해 연장되어 석영 출력 튜브(256)내로 통하는 가스통로(270)에 연통된다. 따라서, 가스 입력 튜브는 공동으로부터의 RF 누설을 방지하는 차폐된 용적을 통과한다. 사용된 석영이 예를들어 본 실시예에서는 약 2.54cm(1인치)의 1/4 파장보다 작은 외경을 갖고 있기 때문에, 1파장(또는, 그 이상) 길이 정도의 차폐부(shield, 268)는 적당한 분리를 제공한다. 차폐부(268)는 석영 출력 튜브(256) 주위로 그리고 양호하게는 출력 튜브(256)의 전체 길이 주위로 반응기 모듈로 들어가는 지점까지 연장된다. 튜닝 장치(tuning stub, 272)에 의해 공진 상태로 공동의 튜닝이 가능하다. 오존 발생을 방지하기 위해, 양호하게는 공진 공동(260)의 내부가 질소 세척된다. 또한, 냉각라인(도시되지 않음)이 사용될 수도 있다. 출력 튜브는 예를들어 가스 공급기(250, 제9도)에 연결된다.
본 실시예에서, 가스 통로(270)를 통한 가스 유동이 공진 마이크로웨이브 시스템 공동 및 마그네트론의 전체 부하의 상당한 부분을 제공한다. 따라서, 가스 유동 및 압력이 성립될 때까지 전력을 켜지 않는 것이 좋다. 예를들어, 400W의 전력이 인가되는 처리에서, 마그네트론(264) 가동되기 전, 압력은 적어도 500mTorr이며 유량은 적어도 500sccm이어야 한다. 이들은 상수(conservative number)이지만, 이것은 공동 또는 마그네트론 내의 아킹(arcing)을 방지하도록 작용한다. 물론, 보다 높은 전력에서는 보다 높은 최소 값들이 사용된다. 예를들어, 5000sccm의 총 가스 유동이 사용되는 샘플 처리에서, 1000W만큼의 전력이 사용될 수도 있다.
원격 플라즈마의 전력 효율은 공진 공동(260) 내부에 대한 가스 통로(270)의 용적 비의 영향을 받는다. 따라서, 가스 유동 통로(270)는 도시된 대체로 원통 형상 대신에 공동의 용적을 보다 많이 충전시키는 형상을 갖도록 변형될 수 있다.
물론, 마그네트론(264)이 공진 공동(260)을 직접 맞대는(abutting) 대신에, 도파관 또는 다른 RF 전송 구조물이 마이크로웨이브 기술의 통상의 원리에 따라 이들을 접속시키는데 사용될 수 있다. 따라서, 활성 화학 성분이 웨이퍼 면에 도달하기 전 활성을 잃게(relex)되거나 재결합되거나 소명(decay)될 수 있는 전이 시간(transit time)을 최소화하기 위해, 처리 모듈 내부에 실질적으로 공진 공동(260)을 위치시키는 것이 유리할 수도 있다.
다른 실시예에서, 수신기(receiver)에 송신기(transmitter)를 결합시키지 않고 동일 안테나에 송신기와 수신기 모두를 결합시키도록 3-포트 서큘레이터(circulator)로서 공지된 종래의 마이크로웨이브 부품이 사용될 수 있다. 공진 공동(260)으로부터 마그네트론(264)을 부분적으로 분리(de-couple)시키는 것이 사용될 수 있으므로, 큰 반사 전력(large reflected power)이 공동으로부터 복귀된 상태 하에서, 별도 저항부하가 어느 정도의 부하 기능을 부담하게 된다.
이는 처리 조건들을 변경함으로써 발생된 부하 변화에 대한 RF 시스템의 감도가 크게 감소된다는 장점을 갖는다. 이는 하나의 RF 전원이 필요시에 하나 이상의 원격 플라즈마 발생 공동에 결합될 수 있다는 다른 장점을 갖는다.
제9도에 도시된 실시예에서, 석영 출력 튜브(256)는 제14도에 도시된 것과 같이 무접점 슬립 끼워맞춤 연결부(258)에 의해 제3가스 공급기(250)에 연결된다. 이 헐거운 슬립 끼워맞춤에 의해 처리 중 몇몇 가스 공급기는 배기 공간 내로 직접 누설될 수도 있으나, 이는 사소한 문제점일 뿐이다. 슬립 끼워맞춤을 사용하는 장점은 원격 플라즈마 챔버(254)로부터의 가스 유동의 전체 경로가 석영 튜브를 통해 연결되면서 처리 챔버의 수직이동을 가능하게 한다는 것이다. 상술한 바와같이, 수직 이동은 웨이퍼 삽입 및 제거를 위해 처리 챔버를 개폐시키도록 기능한다. 이는 원격 플라즈마에 의해 발생된 많은 활성 화학 성분이 매우 활성화되기 때문에 실제로 유용한 특징인 것으로 알려졌다. 이 활성 화학 성분들로는 O·와 같은 래디칼, 옥시-할로겐 화합물과 같은 준안정 분자 성분(quasi-stable moleccular species), 높은 전자 에너지를 갖는 준안정 상태의 분자, 및 특히 플라즈마에 가까운 큰 분율의 이은 화합물을 들 수 있다. 이러한 유동을 운반하도록 사용될 튜브는 내부식(resist attack)을 위해 가능한 한 불활성이며 활성 화학 성분의 유동에 의해 튜브 벽으로부터 분리될 수도 있는 화합물에 의한 웨이퍼의 오염을 최소화하기 위해 가능한 순수해야 한다. 석영은 대부분의 공급원에 대한 이들 두 기준을 모두 충족시킨다. 사용될 가스 유동이 불소 공급원을 포함하는 경우, 튜브는 사파이어, 소결된(sintered) 알루미나, 또는 구리로 제조될 수 있다. 또한, 사용된 처리 화학 조성에 따라, 석영 출력 튜브(256)의 부식 및 가스 유동 내의 화학 조성의 변형이 진행되고 있는 특정한 처리에서 허용될 수 있는 경우 석영을 사용하는 것이 보다 간단할 수도 있다.
제16도는 여러 관점에서 제14도에 도시된 것과 유사한 다른 처리 모듈(630)의 상세도이다. 처리 화학 조성을 변화시키는 것이 [예를들어, 웨이퍼 면(54) 근처에 불소-결핍 플라즈마를 발생시키는 것이]유용한 경우 웨이퍼(48)는 알루미늄, 또는 실리콘일 수도 있는 도전성 서셉터(300)에 대해 유지된다. 서셉터(300)는 상부 챔버(218)가 웨이퍼(48) 아래에 위치되어 웨이퍼(48) 위에 배치된다. 서셉터(300)는 통로(302)에 의해 냉각된다. 필요시, 서셉터(300)는 통로(302)를 통해 또는 서셉터(300)를 통과하는 가열기 로드(도시되지 않음)를 사용함으로써 가열될 수 있다. 웨이퍼(48)는 면(54)이 서셉터(300)로부터 이격되고 하향되어 제16도의 세 개의 지지 핑거(214)에 의해 서셉터(300)에 대해 지지된다.
본 명세서에 기술된 자외선 발생 및 원격 플라즈마 성능을 갖는 처리 모듈(예를들어 630)에서 수행될 수 있는 처리는 도전성 막의 증착이다. 원격 마이크로 웨이브 활성 화학 성분을 갖는 금속 유기 화합물을 환원시키거나 또는 분해시킴으로써 도전성 막이 생성될 수 있다. 디메틸아연, 트리메틸알루미늄, 트리메틸인듐 또는 테트라메틸납과 같은 금속 유기 화합물을 수소 또는 아르곤과 같은 래디칼과 각각 반응시킴으로써 예를들어, Zn, Al, In 또는 Pb 막이 생성될 수 있다. 샘플 실시예에서, 실리콘 또는 HgCdTe 기판(웨이퍼)은 처리 챔버 내로 이송된다. 이 챔버는 10-6Torr 미만의 압력으로 진공화된다. 그후, 챔버는 예를들어 수소와 같은 적절한 가스로 세척되며, 필요시 가스는 예를들어 100sccm으로 마이크로웨이브 공동을 통해 처리 챔버 내로 통과된다. 그 후, 챔버는 0.3Torr의 압력으로 된다. 기판은 50℃로 가열된다. 디메틸아연은 예를들어 6.6sccm으로 분배기(212)를 통해 챔버 내로 공급된다. 이때, 활성 수소 래디칼은, 예를들어 6W로 원격 마이크로웨이브 공동 내에 발생되며, 기판 상에 증착되는 금속 아연을 생성하기 위한 디메틸아연과 처리 챔버로부터 펌핑되는 메탄올 혼합하도록 공급기(250)를 통해 챔버 내로 공급된다. Zn막은 25×10-6Ω-㎝ 전기 비저항으로, 60Å/분으로 생성된다.
처리 모듈(630) 뿐만 아니라 원위치 자외선 에너지 발생 성능을 갖는 다른 처리 모듈이 이용될 수 있는 처리는 HgCdTe 상에 천연 산화물을 성장시키는 것이다. 웨이퍼가 처리 챔버(218) 내에 배치되고 챔버가 폐쇄된 후, 챔버는 소정의 저압(예를들어 0.05Torr)으로 진공화된다. 챔버의 세척은 필요시 적절한 가스(예를들어 O2또는 불활성 가스)를 사용하여 수행될 수 있다. 산소 공급원(예를들어 O2또는 N2O)으로부터 발생된 원격 플라즈마는 필요시 클린업을 수행하도록 챔버(218) 내로 공급된다. 원격 플라즈마는 차단된다. 챔버는 진공화되며, 필요시 O2또는 불활성 가스로 세척된다. 자외선이 공간(220) 내에서 발생되어, 챔버(218)내로 결합된다. 자외선은 챔버(218)내에서 소정의 가스 여기를 제공한다. 자외선은 적절한 시간(예를들어 1시간)동안 유지된다. 그후, 챔버는 진공화되며 적절한 가스(예를들어 N2)로 세척된다. 그 후, 챔버가 개방되며 웨이퍼(48)는 제거된다.
자외선 및 원격 플라즈마 성능을 갖는 본 명세서에 기술된 처리 모듈(예를들어 모듈(630))이 다른 처리에 이용될 수 있다. 웨이퍼는 처리 챔버 내로 이송되며, 챔버는 폐쇄된다. 적절한 가스(예를들어 N2)로 세척이 수행될 수 있다. 원격 플라즈마가 N2O로부터 발생되어 공급기(250)를 통해 챔버(218) 내로 공급된다. 실란가스(예를들어 SiH4)는 분배기(212)를 통해 챔버 내로 공급된다. 자외선이 공간(220) 내에서 발생되어 챔버(218) 내로 결합된다. 자외선은 챔버(218) 내의 N2O 가스에 의해 일부 흡수된다. 증착이 완료된 후, 필요시 SF6으로부터 발생된 원격 플라즈마를 사용함으로써 클린업 작업이 수행될 수 있다.
처리 가스 분배기(212)는 웨이퍼 면(54) 근처의 상부 챔버(218)에 처리 가스를 제공한다. 다른 처리 가스 분배기(306)는, 웨이퍼 면(54)으로부터 이격된 제2플라즈마가 전방 전극(224)에 RF 전력을 인가함으로써 선택적으로 발생되는, 자외선 플라즈마 공간(220)에 가스를 제공한다. 소정 파장 및 강도의 자외선으로 웨이퍼 면을 조사하도록 분배기(306)를 통해 유동하는 화합물 및 전방 전극(224)에 인가되는, 전력 레벨이 선정된다. 제12도에 도시된 가스 유동과 유사한 자외선 플라즈마 공간(220)을 통한 가스 유동이 상부 챔버(218)를 오염시키지 않도록, 석영 배플(232)은 상부 챔버(218) 및 자외선 플라즈마 공간(220)으로부터의 가스 유동을 향하게 한다. 제3가스 공급기(250)는 웨이퍼(48) 근처의 상부 챔버(218)에 원격 플라즈마 챔버에 의해 활성화된 가스 유동을 제공한다. 원위치 플라즈마를 위한 전압은 서셉터(300)에 인가된다.
이하, 황화 아연과 같은 화합물이 진공 웨이퍼 이송을 포함하는 진공 처리 시스템에 적합한 반응기 내의 가스상(gas phase)으로부터 증착되는 처리에 대해 설명하기로 한다. 균일성을 향상시키며 그리고/또는 가스상 핵생성(nucleation)을 방지하기 위해, 하나 이상의 시약(reagent) 가스의 공급원에 각각 연결된 두 개의 가스 분배기가 사용된다. 본 처리 실시예는 ZnS와 같은 Ⅱ-Ⅵ막이 양호한 균일성 및 양호한 막 품질로 신속하게 증착될 수 있다는 장점을 갖는다.
금속 유기 화합물 및 황화물 또는 셀렌화 가스들을 사용함으로써 CdS, ZnS, PbS, CdSe, ZnSe 및 다른 Ⅱ-Ⅳ 결합물과 같은 황화물, 셀렌화물 및 텔루르화물 막이 증착될 수 있다. 예를들어, 디메틸텔루륨, 디메틸아연, 트리메틸알루미늄, 테트라에틸납의 그룹(group)으로부터 유기금속 화합물(금속 유기물)이 발생될 수 있다.
예를들어, 황화물은 황화 수소일 수 있으며, 셀렌화 가스는 셀렌화 수소일 수 있다.
처리 챔버 내에 공급된 불활성 가스 작동 원격 플라즈마 챔버(254) 또는 처리 챔버 내로 결합되는 공간(220) 내에 발생된 자외선 중 어느 하나 또는 이 모두에 의해 소정의 여기가 제공될 수 있다. 서셉터(300)는 이를 통과하는 가열이 로드(도시되지 않음)를 사용하여 가열될 수 있다. PbS로 도핑된 ZnS와 같은 증착막을 도핑하는 것이 가능하다. 예를들어, 테트라에틸납 및 디메틸아연의 혼합물은 하나의 분배기(310)를 통해 챔버 내로 공급되며, 황화 수소는 제2분배기(312)를 통해 공급되어 Zns 및 PbS의 혼합물을 생성한다.
샘플 실시예에서, 기존의 매우 얇은 표면 안정화(passivating) 유전층(이 경우에, 100Å 미만의 두께의 황화물 박막)을 갖는 HgCdTe 기판이 사용되었다. 150 내지 200mTorr의 전체 압력에서 그리고 50℃의 기판 온도에서 H2S는 하나의 가스 분배기를 통해 30sccm로 유동하며 디메틸아연〔(CH3)2Zn〕은 다른 분배기를 통해 2 내지 3sccm으로 유동하였다. 이 상태에서 약 350Å/분의 비율로 양호한 전기 품질의 막이 성장되었다.
또는, ZnS 증착이 수행되기 직전 표면 안정화 층이 동일한 반응기 내에서 형성될 수도 있다. 이는 HgCdTe 상에 MIS 게이트의 제조용으로 특히 유리하다. 샘플 실시예에서, HgCdTe 기판은 묽은 HCI로 천연 산화물이 제거되며, DI(탈이온화된) 물로 헹굼(rinsed)되며, 질소로 건조되며, 진공 상태 하에서 처리 챔버로 이송된다. 챔버는 예를들어 30sccm의 황화 수소로 세척되고 0.2Torr의 압력으로 되며, 기판은 100℃의 온도로 된다. 황화 수소 및 HgCdTe 표면은 HgCdTe 상의 잔류(residual) 산화물을 화학적으로 환원시켜 얇은 표면 안정화 황화물 막을 생성시키는 수소-포함(hydroen-bearing) 및 황-베어링 여기 상태 화합물(원자 및 래디칼)을 발생시키기 위해 추가의 자외선 광원에 의해 조사된다. 그후, ZnS는 예를들어 2 내지 3sccm으로 디메틸아연의 공급에 의해 표면 안정화 HgCdTe 위에 증착된다.
ZnS 성장률은 온도에 매우 민감하다는 것이 발견되었으며, 보다 높은 기판 온도가 바람직하다. HgCdTe 개질의 안정성에 의해 약 120℃ 이하의 최대 성장 온도가 셋팅되나, 고품질 막의 신속 성장을 위해 90℃ 내지 120℃로 성장 온도를 증가시키는 것이 바람직하다. 이들 가스의 가스상 반응은 50℃에서는 큰 문제는 없지만, 100 내지 120℃의 온도에서는 대단히 중요하게 된다. 반응기로서 사용되도록 구성된 처리 모듈(640)의 다른 장점은 가스상에서의 반응으로 인한 문제점을 초래하지 않으면서 보다 높은 이들 온도의 사용을 용이하게 한다는 점이다.
보다 매끄러운 막을 얻기 위해, 희석 가스(diluents gas)가 시약 가스(reagent gas) 유동과 혼합될 수 있으며, 그리고/또는 시약 가스가 보다 고속으로 유동될 수 있다. 적절한 희석 가스로는 수소, 헬륨 및 아르곤을 들 수 있다.
또한, 원위치 자외선 광원으로부터의 조사 하에서의 황화아연 막의 성장이 시험되었으며, 자외선 조사에 의해 대체로 보다 빨리 막이 성장된다는 것이 발견되었다. 추가의 자외선 조사가 다른 증착시에도 유용할 수 있다.
제17도는 반응기로서 사용되는 처리 모듈(640)을 도시한다. 반응기 구성은 상술된 증착 처리뿐만 아니라 다른 종류의 증착에 대해서도 유용하다. 분배기(310 및 312)는 배플(314) 내부의 처리 가스의 유동을 각각 분리 방출(release)시키며, (제17도에는 단지 하나만 도시되었지만, 제3도에는 세 개 모두가 도시된) 세 개의 지지핑거(214)에 의해 도전성 서셉터(300)에 대해 지지되는 웨이퍼(48)의 면(54) 근처의 상부 챔버(218)로 가스 유동을 상향시킨다.
도시된 실시예에서, 세 개의 지지 핑거(214)는 비교적 길며, 이들의 기부는 각각의 만곡부(316)에 의해 상부 챔버(218)로부터 상당히 이격되어 지지된다. 각 핑거는 두 개(또는, 두 개 이상)의 판스프링(도시되지 않음)에 의해 지지되어 수직으로 휘어질 수 있으나, 항상 수직 축을 유지하려 할 것이다. 이러한 구조의 장점은 웨이퍼 표면(54)에 노출된 거의 모든 표면이, 특히, 웨이퍼 표면으로부터 상류의 모든 표면이 석영 또는 다른 비교적 순수한 불활성인 물질로 제조되는 반응기를 제공하는데 도움을 준다는 점이다. 화합물(CH3)2Zn은 반응성이 상당히 높으며, 석영이 아닌 노출된 표면을 최소화시키는 것은(입자 오염을 발생시킬 수 있는) 증착물 방지에 도움을 준다. 특히, 본 실시예에서, 벨로우즈가 이동할 때 벗겨질 수 있는 벨로우즈 상의 증착물을 제거하기 위해 상호 결합되는 쌍으로 된 테프론-피막(Tefon-coated) 배플(318 및 320)이 벨로우즈(124)로부터 배기 가스 유동을 분리시키도록 사용된다.
본 명세서에서 기술된 몇가지 실시예들은 웨이퍼의 신속한 가열이 가능하도록 복사 열 원을 사용하며, 통상 필요한 장기간의 열 램핑(thermal ramping) 시간없이 고온 처리의 장점을 제공한다. 제18도는 급속 열처리를 수행하기 위한 구조를 도시한 것이다.
제18도는 웨이퍼(48)가 투명 진공 벽(238)에 대해 또는 이에 근접하여 지지되는 처리 모듈(650)을 도시한다. 제18도에 도시된 바와같이, 예를들어 18개의 1KW의 고온 백열등(330)과 같은 가열 요소 링이 상부 고정 반사기(334, 제19a도)에 장착된다. 상부 고정 반사기 및 하부 고정 반사기(332)는 가열 효율을 최대화시키는 것을, 즉, 투명 진공 벽(238)을 통해 웨이퍼(48)에 광학적으로 결합되는 고온 백열등(330)에 의해 방출된 광학적인 출력의 분율을 향상시키는 것을 돕는다. 제어시스템(206)은 온도 감지기를 사용하여 소정 위치로 반사기(336)를 상하 이동시킴으로써 반사기의 형상 변경을 제어할 수 있다.
제19a도는 상부 고정 반사기(334)의 단면에서의 기하학적인 형상을 도시한다. 반사기(334)의 표면은 램프에 근접해 있는 세 개의 직선, 즉 표면(338,340 및 342)을 갖고 있으며, 이 표면들은 대체로 각각 원추 절두체로서 형성되며 고온 백열등(330)으로부터 벽(238)을 통해 직접 광선을 반사시키도록 위치된다. 본 실시예에서 기하학적 광학의 광선 경로는 제19a도에 도시되어 있다.
그러나, 도시된 특정 실시예가 양호한 결과 및 장점을 갖는 것으로 증명되었으나, 본 명세서에 기술된 개념의 장점들을 여전히 유지하면서 다양한 다른 반사기 기하학적 형상이 도시된 반사기 기하학적 형상에 대체될 수 있다. 가열 요소 및 반사기의 구조는 가열 모듈을 형성한다. 발생된 열이 웨이퍼(48)에 열적으로 전달되는 한 다른 종류의 가열 모듈이 가능하며, 제18도의 구조가 일예이다.
이동 가능한 상부 반사기(336, 제18도)가 제어 가능하게 구동기(344)에 의해 수직 이동될 수 있다. 반사기(336)는 상부 고정 반사기(334)의 중심의 원형 개구 내에 설치된다. 반사기(336)의 이동에 의해 제19b도 및 제19c도에서 도시된 바와같이 복사열 패턴의 한 성분의 면적 분포가 제어될 수 있어 제20도에 도시된 바와같은 열 유동 분포를 발생시킨다. 구동기(334)는 제18도에 도시된 바와같이 반사기(336) 위에 설치된다.
제20도에 도시된 바와같이, 상부 곡선(652)은 제19a도, 제19b도 및 제19c도의 웨이퍼(48)의 엣지(제20도에서 좌측)로부터 웨이퍼(48)의 중심(제20도에서 우측)까지의 열에너지의 분포를 나타낸다. 점선(654)과 실선(656) 사이의 영역을 반사기(336)의 기여도이며, 실선(656)밑의 영역은 고정 반사기(332 및 334)의 기여도이다.
이는 반사기(336)가 제19c도에서 도시된 바와같이 상부 위치에 있을때의 열에너지의 상대 분포를 나타낸다. 제20도의 곡선(659)은 반사기(336)가 19B도에서 도시된 바와 같이 하부 위치에 있을때의 열에너지의 상대 분포를 나타낸다. 곡선(659)의 실선(657) 아래의 영역은 고정 반사기의 기여도를 도시하며, 실선(657)과 점선(658) 사이의 영역은 반사기(336)의 기여도를 도시한다.
〔팁(tip)에서의 형상이 90°의 팁 각도를 갖는 원추와 약간 유사한〕이동 가능한 상부 반사기(336)가 제19b도에서 도시된 바와같이 하부 위치에 있을 때 웨이퍼의 엣지에 추가의 가열이 제공되며, 이동 가능한 상부 반사기(336)가 제19c도에서 도시된 바와같이 상부 위치에 있을 때 복사 성분은 웨이프의 엣지로 우선적으로 결합되지 않아서 웨이퍼의 중심은 추가의 가열을 받는다. 명확한 이해를 돕기 위해, 제19b도 및 제19c도에는 램프 필라멘트와 평행하게 방출되는 광복사 성분만이 도시되어 있으며, 제19b도에서는 상당한 범위의 각도에 걸쳐 방출된 빛의 유사하게 반사(deflected)된다는 것을 알 수 있다.
예를들어, 반사기(332 및 336)는 금으로 피막된 알루미늄으로 제조되며, 반사기 내의 통로에서 유동하는 물에 의해 냉각될 수 있다. 반사기(334)는 필요시에 임의의 적절한 반사 물질로 피막될 수 있다.
이들 고온 백열등(330)에 공급되는 전력은 컴퓨터 제어 시스템(206, 제31도)에 의해 제공된 제어 신호들중 하나에 의해 제어된다. 일반적으로, 램프의 전력은 고전력 레벨(예를들어, 전 전력의 40%)로 높은 비율로 변화(ramped)되며, 처리에 따른 소정의 시간(예를들어 15초) 동안 유지된다. 그후,전력은 처리가 완료될때까지 보다 낮은 정상 상태 레벨(예를들어, 전 전력의 16%)로 다시 변화된다.
다른 예로서, 수행되는 특정 처리가 처리 중 600℃의 온도로 웨이퍼를 유지시킬 필요가 있는 경우, 램프 전력은(예를들어) 전 전력의 30%로(즉, 총 5400W로) 켜지며, 웨이퍼가 필요한 처리 온도에 거의 도달될때까지 이 레벨로 유지되며, 이때, 전력은 처리가 완료될때까지 소정 처리 온도로 웨이퍼를 유지하는 레벨로 다시 하향 변화된다.
샘플 실시예에서, 18개의 1000W 백열등들이 약 15.24cm(6인치) 석영 판을 향한 약 15.24cm의 직경을 갖는 (금-도금 알루미늄으로 제조된) 반사기의 링 내에 위치된다. 석영 판의 노출부는 투명 진공 벽(238)을 제공하며, 벽(238)에 근접하여 유지되는 10.16cm(4인치) 웨이퍼의 배면의 복사 가열을 가능하게 하기에 충분히 큰 개구를 갖는다.
샘플 실시예에서, 램프 전력이 제공되면, 40sccm의 H2및 8sccm의 WF6의 처리 가스 유동이 500mTorr의 전 압력으로 전면에 가해지면서 웨이퍼는 600℃를 유지하다. 이러한 화학 조성은 2000Å/분으로 텅스텐 박막의 고품질 컨포멀 증착(high-quality conformal deposition)을 성공적으로 입증하였다.
일 실시예에서, 일 조의(a combination of) 고정 반사기 및 램프가 약 900℃로 신속하게 웨이퍼를 가열시키도록 사용되었다. 결정 구조 내로 어떠한 슬립(slip)도 도입되지 않고 웨이퍼는 적어도 200℃/초로 약 1100℃로 가열되었다. 가열 장치는 보다 상세히 후술된 동적(dynamic) 복사열원(dynamic radiant heat sourec)이다.
입사 복사 에너지(22)의 조도(intensity) 및 방사 분포(radial distribution)는 모두 조절될 수 있다. 램프에 입력된 전력의 조절은 웨이퍼의 온도를 조절하도록 사용될 수 있다. 본 실시예는 처리되고 있는 웨이퍼의 온도 변화를 검출하기 위해 [광 퍼미터(optical permitter)와 같은] 온도 측정 장치를 사용한다. 가열 및 냉각 중 웨이퍼에 대한 적절한 복사 에너지 분포를 얻기 위해, 이동 가능한 반사기(336)는 약 3.81cm(1 1/2인치)의 전체 길이만큼만 이동될 필요성이 있다. 예를들어, 곡선(652)은 가열 중의 분포를 나타내며, 곡선(659)은 냉각 중의 분포를 나타낸다.
제18도에 도시된 실시예는 예를들어 200℃/초의 비율로 1100℃ 이상의 최종 온도로 웨이퍼의 온도를 변화시키는 동안 웨이퍼의 반경에 대한 온도 변화가 1% 미만으로 유지되도록 제어한다는 것이 입증되었다.
필요한 처리 작업이 종료된 후 가스 공급이 차단되거나 또는 불활성 화학 성분으로 절환되며, 처리 챔버가 개방되기 전 부분적으로 제조된 집적 회로 웨이퍼의 제어된 냉각을 위해 또는 가능한 부 유입자의 정착을 위해 지속 시간이 제공될 수 있다. 또한, 필요시 가스 세척이 수행될 수 있다.
제21a도 및 제21b도는 신속 열 처리 성능(rapid thermal porcessing capubility) 능력을 갖는 진공 처리 시스템의 웨이퍼(48)와 투명 진공 벽(238) 사이의 전도성 열 커플링을 감소시키는 2가지 변형예를 도시한다. 이들 도면에 도시된 반사기 구조는 제18도에 도시된 반사기 구조와는 상이한 형태로 되어 있다.
제21a도는 웨이퍼(48)의 표면적의 대부분이 투명 진공 벽(238)과 접하지 않는 일실시예를 도시한 것이다. 대신에, 투명 진공 벽(238)은 웨이퍼(48)가 세 개의 지지 핑거(214)에 의해 상승될 때 웨이퍼(48)의 외주(49)에 인접하여 웨이퍼(48)와 접하는 하향 연장 링(350)을 포함하도록 성형된다. 세척 가스 라인(352)에 의해 세척 가스(예를들어, Ar)가 웨이퍼(48)의 배면에 공급된다.
제21b도는 웨이퍼(48)가 투명 진공 벽(238)과 전혀 직접 접하지 않는 일 실시예를 도시한 것이다. 대신에, 진공 벽(238) 보다 더 얇은 제2투명판(358)이 핑거(214)에 의해 압박될 때 웨이퍼(48)을 접한다. 판(358)은 벽(238) 아래에 설치된다. 제2투명 판(358) 투명 진공 벽(238)보다 상당히 얇기 때문에, 투명 판으로의 도전성 결합은 웨이퍼 상에 웨이퍼 상에 투명 진공 벽(238)과의 완전 접촉시 보다 적은 열 부하를 가한다. 샘플 실시예에서, 진공 벽은 약 1.27cm(0.5인치) 두께이며, 제2투명 판(358)은 약 0.15cm(0.06인치)두께이다. 또한, 세척 가스 라인(352)에 의해 세척 가스(예를들어, Ar)가 웨이퍼(48)의 배면에 공급된다. 투명 진공 벽(238)으로부터 이격되어 제2투명 판(358)을 배치하는 것이 좋다.
이들 두 실시예들에 사용된 세척 가스 공급은 웨이퍼에 대한 균일한 온도 분포를 달성하는 것을 돕는다. 또한, 투명 진공 벽 근처 영역으로 세척 가스를 공급함으로써 증착 또는 에칭 효과가 누적되어 투과성이 감소되거나 또는 입자가 발생되는 것이 방지되는데 도움이 된다.
제21c도는 급속 열 처리 능력을 갖는 진공 처리 시스템 내의 웨이퍼(48)와 투명 진공 벽(238) 사이의 전도열 결합(conductive thermal coupling)을 감소시키기 위한 다른 기술을 도시한 것이다. 웨이퍼(48)는 상부 챔버(218)가 폐쇄될 때 웨이퍼가 진공 벽(238)으로부터 짧은 거리(예를들어 1mm) 만큼 떨어질 정도의 높이에서 세 개의 지지 핑거(214)에 의해 지지된다.
제21a도, 제21b도 및 제21c도에 도시된 전도열 결합을 감소시키기 위한 기술들은 다른 형태의 작업 편에 적용될 수 있는 웨이퍼 처리용으로 유용하다.
석영으로 제조될 수도 있는 투명 진공 벽(238)은 큰 온도 차이(swing)의 영향을 받으며 일반적으로 아주 상이한 열팽창 계수를 갖는 금속으로 제조되는 챔버에 대한 진공 밀봉 상태를 유지해야 하기 때문에, 투명 진공 벽(238)과 반응기 본체 사이에 제21d도에 도시된 것과 같은 특수 시일을 사용하는 것이 유리할 수도 있다. [Helicoflex(상표) 시일로서 시판중인] 시일은 스테인레스강 재킷(662) 내에 봉입된 Inconcel(상표) 나선(helix, 660)을 포함하며, 연성 금속 재킷(662)(예를들어, 알루미늄)이 스테인레스강 재킷(662)의 밀봉 표면을 둘러싸고 있다. 시일이 압착되면(tightened down) 연성 금속 재킷(664)의 소성 변형(plastic deformation)에 의해 누설없이 밀봉된다. 탄성 변형은 강성 Inconel 나선(660)에 의해 제공된다.
본 명세서에서 참조된 아이. 사까이(I.Sakai) 등이 32 Vacuum 33(1982)에 발표한 탄성 금속 가스켓 '헬리코플렉스'의 밀봉 개념(Sealing Concept of Elastic Metal Gasket 'Helicoflex') ; 하지메 이시마루(Hajime Ishimari) 등이 26 IEEE Transactions on Nuclear Science 4000(1979)에 반표한 초고진공을 위한 알루미늄 시일 및 알루미늄 플랜지를 갖는 베이킹 가능한 알루미늄 진공 챔버 및 벨로우즈(Bakable Aluminum Vacuum Chamber and Bellows with an Aluminum Flange and Aluminum Seal for Ultra High Vacuum) ; 알. 비. 플래밍(R.B. Fleming) 등이 17 Journal of Vacuum Science and Technology 337(1980)에 발표한 토카막 용융시험 반응기 상의 비순환식 포트용 베이킹 가능한 시일 개발(Development of Bakable Seals for Large Non-Circular Ports on the Tokamak Fusion Test Reactor) ; 및 하지메 이시마루등이 15 Journal of Vacuum Science and Technology, 1853(1978)에 발표한 초고진공을 위한 알루미늄 시일 및 알루미늄 플랜지를 갖는 베이킹 가능한 알루미늄 진공 챔버 및 벨로우즈(Bakable Aluminum Vacuum Chamber and Bellows with on Aluminum Flange and Aluminum Seal for Ultra High Vacuum) 에 기술된 바와같이, (예를들어, 316℃(600℉)의 온도에서 주기적으로 베이킹되는) 초 고진공 시스템 내에 사용하기 위한 시일이 제안되었다. 이러한 시일은 비교적 높은 온도(예를들어, 316℃(600℉)에서 높은 압력 차이를 견디며 진공 밀봉 상태를 계속 유지할 수 있는 능력 때문에 처음 시판된 것으로 생각되어지나, 이러한 시일이 신속히 변화되는 온도 환경에서 두 개의 상이한 물질들 사이의 진공 시일을 제공하거나 또는 특히 진공 처리 시스템에서 신속 열 처리를 위해 제안되었다는 것은 알려져 있지 않다.
그러나, 실험 결과에 따르면, 탄성중합체 시일 재질이 복사열에 노출되지 않는 한, 탄성중합체 시일이 일반적으로 만족스럽게 작용하게 된다는 것을 알 수 있다.
상술된 바와같이, 통상 사용되는 전력 레벨(12 내지 50KW 램프 전력)이 금-피막 알루미늄 반사기일지라도 신속하게 용융시킬 정도이기 때문에 복사열 모듈은 냉각 통로를 포함하는 것이 좋다. 그러나 제22도는 간접적으로 냉각되는 다른 구조를 도시한 것이다. 반사기(360) 부분은 냉각 통로를 포함하지 않으므로, 본 실시예의 복사열 모듈의 전체 폭은 냉각 통로를 포함하는 경우보다 더욱 작다. 복사 가열 모듈이 냉각 통로(364)를 포함하는 하우징 조립체(362)의 내경에 슬립 끼워맞춤되도록 반사기(361)의 측벽 키기를 선정함으로써 냉각이 달성된다. 따라서, 램프 전력이 커지면 반사기(360)는 측벽이 하우징 조립체(362)와 양호하게 접할 때까지 가열되어 팽창하지만, 이때 하우징 조립체(362) 내로의 열전도에 의해 효과적으로 냉각되어 반사기(360)의 가열이 제한된다. 복사 가열 모듈의 기부(366)는 자체 냉각 통로(도시되지 않음)를 갖지만,이들 통로 및 이들의 연결부는 가열 모듈의 전체폭을 증가시키지는 않는다. 따라서, 제22도에 도시된 예를 거의 25.4cm(10인치) 폭의 복사열원은 제공하면서 표준 25.4cm(약 10인치) 진공 플랜지 내로 결합되는 가열 모듈을 제공한다. 물론, 아래에 상부 챔버(218)가 놓이는 투명 진공 벽(238)을 통한 효과적인 복사결합이 되도록 안착 깊이(depth of seating)가 선정된다. 개선된 진공 플랜지 적용성(compatibility)는 본 실시예가 초 고진공 처리 스테이션(즉, 10-9Torr 이하의 압력에서 작동하는 처리 모듈)과 결합하여 사용되는 것이 유리하게 한다.
제22도에 도시된 처리 모듈은 내부 원격 마이크로웨이브 플라즈마 발생, RF원위치 플라즈마 발생 및 모듈 내에 동일한 처리 챔버에 가해진 복사열을 위한 개별 에너지원을 갖는다. 에너지원은 단독으로 또는 임의의 조합으로 개별 제어될 수 있다. 처리 모듈은 건식 원위치 클린업 고온 천연 산화물 제거, 복사열을 이용한 개선된 막 증착을 제공한다. 또한, 복사열과 결합된 원격 플라즈마 원으로 저온 에피택셜 막을 성장시킬 수도 있다. 특히, 원위치 RF 및 원격 플라즈마를 결합하여 사용함으로써 등방성 및 비등방성 처리를 포함하는 건식 에칭을 할 수 있다. 또한, 프리 에칭(pre-etch),에칭 및 포스트 에칭(post etch) 처리, 직접 반응 및/또는 급속 열처리가 수행될 수도 있다. 따라서, 처리 모듈은 웨이퍼를 이동시키지 않고 상이한 처리를 순차적으로 수행할 수 있다.
제23도에 도시된 바와같이, 짧은 거리만큼 이격되어 웨이퍼 위에 설치된 투명 진공 벽(238)아래에 웨이퍼(48)가 도시되어 있다. 벽(238)에 가장 근접한 웨이퍼(48)의 면에 가스를 공급하도록 세척 가스 라인(352)이 제공된다. 웨이퍼(48), 벽(238), 및 가열 모듈의 구조는 제21a도 및 제21b도에 도시된 것과 유사하다. 그러나, 제23도에서, 실리콘 전극(670)이 벽(238)과 웨이퍼(48) 사이에 제공된다. 직접 가열되는 것은 실리콘 전극이며, 웨이퍼는 열전도에 의해 가열된다. 실리콘 전극(670)은 엣지 주위에서 RF 도체 링(672)에 접속된다. 웨이퍼(48)의 면(54)에 인접한 원위치 플라즈마를 위한 전압은 RF 도체 링(672)을 통해 실리콘 전극(670)에 공급된다.
웨이퍼(48), 실리콘 전극(670), 및 RF 도체 링(672)은 모두 전기적으로 결합된다. 제23도는 [제16도의 공급기(250)와 같은 가스 분배기에 의해 공급된] 원격 플라즈마 및 [제16도의 분배기(212)와 같은 가스 분배기를 통한] 원위치 플라즈마를 모두 가질 수 있는 처리 모듈(675)을 도시한 것이다.
제23도는 내부 발생된 자외선 에너지, 원격 MW (마이크로웨이브) 플라즈마 발생, RF 원위치 플라즈마 발생, 및 복사열의 네 개의 개별 에너지원을 갖는다. 각 에너지원은 개별 제어되며, 단독으로 또는 임의로 조합되어 사용될 수 있다. 처리 모듈(675)은 건식 원위치 클린업을 제공할 수 있다. 처리 모듈(675)은 고온 천연 산화물 제거, 자외선 및 복사열을 동시에 사용하거나 또는 다른 소정 조합의 에너지원을 사용하는 개선된 막 증착, 복사열과 결합된 원격 MW(마이크로 웨이브) 플라즈마원 또는 다른 소정 조합의 에너지원으로 저온 에피택셜 막 성장, 원위치 RF 및 원격 MW(마이크로웨이브) 플라즈마를 결합하여 사용하거나 또는 다른 소정 조합의 에너지원들을 사용한 등방성 및 비등방성 처리를 포함하는 건식 에칭, 프리-에칭, 에칭 및 포스트 에칭 처리, 및 직접 반응 및/또는 급속 열 처리용으로 사용될 수 있다.
제24도에 도시된 처리 모듈(680)은 제23도의 처리 모듈(675)과 유사하지만, 추가의 자외선 광원을 포함하고 있다. 램프 모듈(682)은 투명 진공 벽(238)위에 설치된다. 웨이퍼(48)는 벽(238) 아래에 배치된 것으로 도시되어 있다. 실리콘 전극(670)은 벽(238)과 웨이퍼(48)사이에 설치된다. 실리콘 전극(670)은 벽(238)으로부터 이격되어 웨이퍼(48)와 접한다. RF 도체 링(672)은 실리콘 전극(670)과 접하여 상부 챔버(212)내에 웨이퍼(48)의 면(54)에 인접하여 원위치 플라즈마를 형성하기 위한 RF 전력을 공급한다. 가스 세척 공급기(352)는 상술된 것과 동일한 기능을 수행한다. 원격 플라즈마는 공급기(250)를 통해 제공된다. 처리 가스 분배기(212)는 웨이퍼(48)의 면에 인접하여 처리 가스를 제공한다. 석영 배플(232)의 단면은 H-형이다. 핑거(214)는 전극(670)에 대해 웨이퍼(48)을 지지한다. 가스 분배기(248)는 자외선 플라즈마 공간(220)으로 가스를 공급한다. 공간(220)의 내부 수직 벽 및 외부 수직 벽을 따라 배치된 전극(684 및 685)은 공간(220)내에 플라즈마 형성을 위한 전압을 제공한다. 일반적으로, 모듈(680)의 하부는 모듈(620)과 유사하다.
구리-도핑된 알루미늄(Al : Cu)막 (예를들어 두껍게 구리-도핑된 알루미늄)의 에칭은 성공적으로 입중된 하나의 처리이다. RF 전력은 플라즈마를 발생시키고 웨이퍼 면에 플라즈마 포격을 제공하도록 사용되며, 공급 가스 혼합물은 BCl3, 염소 및 탄화수소 공급원(예를들어 메탄과 같은 알킬)을 포함한다. 기초 물질(underlying material)에 따라서는, 저압의 포스트 에칭 스테이지가 저휘발성 잔류물을 제거하기 위해 사용될 수 있다.
상술된 처리의 샘플 실시예는 다음과 같이 성공적으로 입증되었다. 개시 구조물은 2% 구리로 도핑된 5000Å 두께의 알루미늄 층을 포함하였다. 초기 가스 유동은 웨이퍼가 면을 밑으로 하여 위치된 단일 웨이퍼 반응기에 100mTorr의 전체 압력 및 인가된 350W의 RF전력 레벨에서, 60sccm의 BCl3, 20sccm의 Cl2및 5sccm의 CH4를 포함하였다. 일반적으로, 공급 전력은 300W 내지 1000W로 될 수 있다. 유량이 어떻게 변하는지를 나타내는 한 예에서, Cl2는 10sccm 내지 100sccm 범위, BCl3은 60sccm 내지 250sccm 범위, 및 CH4는 0sccm 내지 15 내지 15sccm 범위의 유동 속도를 갖는다. 압력의상한치는 약 0.5Torr이다.
제1실시예에서, 이들 조건은 산화물 위의 Al : Cu를 깨끗이 제거한다. 제2실시예에서, 텅스텐 위의 구리-도핑된 알루미늄 막이 이러한 조건으로 에칭되면, 어느 정도의 구리 잔류물이 남았다. 제2실시예에서, 120초 동안 가스유동이 40mTorr의 전체 압력 및 인가된 250W의 RF 전력 레벨로 90sccm의 BCl3및 15sccm의 Cl2로 변경된 포스트 에칭이 사용되었다. 최종 구조물은 라인 폭 부식이 거의 없거나 또는 전혀 없으며, 포토레지스트에 대한 선택성이 약 2.5 : 1인 거의 수직 에칭된 측벽을 나타내며, (어떠한 구리 잔류물도 없는) 깨끗한 표면을 남겼다.
본 실시예에는 상당한 장점을 제공하지만, 다른 실시예는 또 다른 장점을 제공한다. 사용된 반응기는 복사열 및 플라즈마 포격 모두가 웨이퍼 면에 가해질 수 있는 제23도 및 제24도에 도시된 것과 같은 반응기이다. 에칭 중에, 웨이퍼는(예를들어) 약 200℃로 가열되므로, 구리 잔류물이 원위치에 잔류되는 것이 방지된다.
본 실시예에 복사 가열 능력을 사용하는 것의 다른 장점은 챔버 벽으로부터의 잔류물의 제거를 향상시킨다는 것이다. 예를들어, 웨이퍼가 제거된 후 처리 온도 보다 상당히 더 높은 온도 (예를들어, 700℃)로 서셉터를 가열함으로써 매우 효율적인 챔버 클린업이 수행될 수 있다. 처리 챔버가 작기 때문에, 챔버 벽들은 모두 복사열 전달에 의해 서셉터에 최소한 어느 정도로 열적 결합될 것이다. 플라즈마내의 매우 활발한 해리(dissociation) 생성물을 발생하는 공급 가스가 유입될 수 있으며, 고온 및 활성 화학 성분의 결합은 매우 신속하게 잔류물을 제거할 것이다. 적절한 공급 가스로는 BCl3와 같은 염소 공급원 또는 SF6와 같은 불소 공급원을 들 수 있다.
다른 실시예에서, 처리 중 예를들어 수백 도의 온도로 웨이퍼를 가열시키기 위해 복사 가열이 사용된다 이 처리는 웨이퍼 상에 구리 잔류물을 남기지 않고 두껍게 구리-도핑된 알루미늄(예를들어 2% 구리)를 신속하게 에칭시킬 수 있다. 또한, 클린업 작업시 산소가 사용된다
제25a도는 포토레지스트 엣지 비이드 제거 및 동시의 포토레지스트 베이킹(bake)을 위한 엣지-우선(edge-preferential)처리용 모듈을 도시한 것이나, 본 명세서에 기술된 개념은 다른 처리 단계를 달성하기 위한 시스템에 적용될 수도 있다. 제25a도는 본 실시예에서, 석영 출구 튜브(256)에 의해 상술한 바와같이 처리 가스 유동내의 활성 화학 성분을 발생시키는 원격 플라즈마 챔버에 처리 모듈(690)을 도시한다. 원추형 배플(400)은 웨이퍼의 엣지에서 향상된 반응 속도를 제공하도록 사용된다. 이 배플(400) 및 채널용 지지부(692)의 단면은 V-형이다. 튜브(256)에 연결된 공급기(250)로부터의 가스는 배플(400)과 지지부(692)사이에 형성된 채널에 의해 상향 및 외향으로 향해진다. 가스는 웨이퍼(48)의 외주(49) 근처의 채널로부터 배출된다. 웨이퍼(48)는 거꾸로 된 원추형 배플의 상부와 투명 진공 벽(238) 사이에 배치된다. 가열 모듈(694)은 벽(238)위에 설치된다.
제25b도는 제25a도에 도시된 것과 어느 정도 유사한 처리 모듈(695)을 보다 상세하게 도시한다. 이들 두 실시예는 제25a에서는 투명 진공 벽(238)을 통해 웨이퍼를 조사하거나 또는 웨이퍼가 압박되는 실리콘 서셉터를 조사하는 복사 가열 모듈에 의해 웨이퍼가 가열되는 반면, 제25b도에서는 간단히 저항 가열 서셉터(252)에 의해 웨이퍼가 가열된다는 점이 다르다.
제25b도에서, 윈격 플라즈마 챔버(254, 제25a도)로부터의 활성 화학 성분의 가스 유동은 깔때기형 가스 분배기(416)와 공급기(250) 사이의(제9도에 도시된 것과 유사한)슬립-끼워맞춤 연결부(258)에 의해 깔때기형 가스 분배기(416)에 연결된다. 슬립-끼워맞춤 연결부(258)는 본 명세서에 기술된 여러 가지 모듈의 처리 챔버를 개폐시키는 처리 모듈(295)의 상하 이동을 가능하게 하기 위해 제공된다. 튜브(256)의 연장부인 공급기(250)는 상술된 바와같이 반응기가 걔폐될 때 이동하지 않은 석영 튜브로 될 수 있다. 벨로우즈(414)는 입자를 발생시킬 수도 있는 어떠한 활주 연결부도 필요로 하지 않으면서 효율적으로 기밀(gas-tight)시키도록 슬립 끼워맞춤 연결부를 둘러싸고 있지만, 배기 공간으로 배출되는 제14도에 도시된 것과 같은 슬립-끼워맞춤 연결부가 대신에 사용될 수도 있다.
일반적으로 원추형 배플(400)이 약 1mm 두께의 채널 또는 유동 통로(408)를 한정하도록 작은 돌출부(도시되지 않음)에 의해 깔때기형 가스 분배기(416) 내부에 지지된다. 깔때기형 가스 분배기(416) 상에 장착된 스프링 핀(406)은, 양호하게는 웨이퍼(48)의 외주부(49) 주위에 약 1.27cm(0.5인치) 깊이의 요홈(412)을 포함하도록 성형된, 가열 서셉터(252)에 대해 웨이퍼(48)를 지지한다. 이 요홈(412)은 배면 비이드의 제거를 용이하게 한다. 포토레지스트가 스핀 온 되면(spun on)(즉, 회전하고 있는 웨이퍼 상에 액체로 적층(deposition)되면), 이에 의한 엣지 비이드가 통상 웨이퍼의 전체 엣지 주위로 전면 및 배면으로 연장되며, 포토레지스트가 배면의 대부분 면적 상에 피막되지 않더라도 엣지 비이드 제거를 위한 건식 처리 방법에 이러한 배면 비이드의 제거는 상당히 어렵다. 서셉터 내의 요홈(412)을 둘러싸고 있는 링-형 돌출부(404)는 웨이퍼의 외주부(49) 근처의 활성 화학 성분의 지속 시간(dwell time)을 향상시키는 것을 돕는다. 이러한 엣지 비이드는 취급 및 처리중의 입자 공급원이다.
온도 선택은 포토레지스트의 형태 및 상태(베이킹되었는지 또는 베이킹 되지 않았는지)에 의해 결정된다. 온도가 높을수록 속도가 빨라진다. 그러나, 처리 화학 조성이 변경되면, 저온 처리가 상당히 보상될 수 있다. 종래 형태의 패턴화되고 베이킹되지 않은 레지스트 막 처리의 경우, 최대 작동 온도는 100℃였다. 이 온도 이상에서 레지스트는 유동하기 시작하여, 패턴 선명도(pattern definion)을 해치게 된다.
원추형 배플(400)의 평면 또는 기부면(420)은 처리 작업 중에 웨이퍼(48) 가까이 유지된다. 원추형 배플(400)의 기부면(420)의 반경은 웨이퍼(48)의 반경보다. 약 1mm 작다. 원추형 배플(400)은 편평한 기부면(420)을 제외한 전체 표면 상에 강하게 양극 산화 처리된 알루미늄으로 제조된다. 보다 활성화된 화학물이 편평한 기부면(420)의 엣지를 지나 확산되어 웨이퍼(48)의 중심 부분에서 레지스트 재질을 보다 많이 부식 시킬수도 있는 것을 방지(help) 하기에 충분할 정도로 이러한 편평한 기부면(420)은 반응성을 갖는다.
천연 산화물이 존재할지라도, 이 알루미늄 면은 여전히 분배기의 엣지를 지나 확산되는 오존 또는 단원자 산소와 같은 산화 화합물을 무력화(scanvage) 시킬 수 있는 충분한 능력을 갖고 있으므로, 작업의 엣지-우선 선택성이 향상된다.
원추형 배플(400)을 통해 원추형 배플(400)의 기부면(420)과 웨이퍼 면(54) 사이의 좁은 공간(예를들어, 약 1mm 높이)의 출구로 연장된 배기 라인(402)을 통해 연결부(410)에 공급된 세척 가스를 누출시킴으로써 엣지 선택성이 더욱 개선된다.
반응 속도를 가속시키기 위해, 서셉터(252)는 적어도 100℃의 온도로 가열된다. 120내지 130℃의 온도가 종래의 레지스트 재질에 대해 적절하나, 온도의 선택은 특정한 처리 조건에 따르게 된다. 예를들어, 보다높은 재유동(reflow) 온도를 갖는 레지스트 재질은 보다 높은 온도를 허용한다.
또한, 몇몇 처리에서는, 엣지 비이드의 제거가 유용할 수도 있으며, 애슁(ashing)에 의해 웨이퍼 면의 대부분으로부터 레지스트를 제거한 후 수행되는 단계로서 레지스터가 전부 제거되는 것이 바람직하며, 레지스트의 나머지가 제거된 후에도 특히 단단한(stubborn) 엣지 비이드가 남으면 제25b도의 구조물은 300℃와 같은 고온에서 작동될 수도 있다.
포토레지스트 엣지 비이드 제거가 성공적으로 입증된 샘플 처리 방법은 다음과 같다. 제25b도에 도시된 것과 같은 반응기 구조에서, 1000sccm의 O2및 200sccm의 H 그리고 1Torr의 전체 압력이 처리가스 유동은 웨이퍼의 상류의 400W의 마이크로웨이브 방전에 의해 활성화되었으며, 서셉터(252)는 100℃로 유지되었다. 120초 내에, 이러한 샘플 처리 실시예는 2미크론 두께의 포토레지스트 피막(coat)을 스피닝온(spining on)시켜 발생되는(약 3미크론 두께로 추정되는) 엣지 비이드를 성공적으로 제거하였다. 또한, 이 시간 동안 가해진 열은 포토레지스트 처리의 유용한 단계로서 널리 공지된 소프트 베이킹(soft bake)을 달성하였다.
제26a도는 기술된 소정의 실시예들과는 달리 웨이퍼(48) 아래의 상부 챔버(218)뿐만 아니라 상부 처리 공간(430)을 포함하는, 웨이퍼(48)를 위한 원위치 클린업(in situ clean up)을 갖는 단일 웨이퍼 스퍼터링 시스템(single wafer sputtering system)에 대한 샘플 실시예를 도시한다. 상부 챔버(218)는 원위치 클린업용을 위해 사용되며 상부 처리 공간(430)은 스퍼터 증착을 위해 사용되나, 이러한 시스템의 능력을 달리 사용할 수도 있다.
또한, 본 샘플 실시예는 사용된 웨이퍼 이송 방식 면에서 어느 정도 상이한다. 웨이퍼 이송 암(28)은 세 개의 지지 핑거(214, 제12도)와 같이 아래로부터 기계적으로 지지된 세 개의 지지핑거(214)(제26b도 및 제26c도에는 두 개만이 도시됨)상에 웨이퍼(48)를 위치시킨다. 웨이퍼(48)는 상술된 바와같이 암(28)에 의해 핑거(214) 상에 위치된다. 그 후, 웨이퍼(48)가 서셉터(438)와 접하고, 챔버가 밀봉될 때까지 핑거(214)는 상향 이동된다. 하나 이상의 시일(911)(제26b도에 도시된 바와같이)이 서셉터(438)와 챔버(218)의 수직 외부벽(913)의 상부 사이에 설치된다. 그후, 필요시 상부 챔버(218, 제26a도)에서 처리 단계가 수행된다. 세 개의 핑거(440, 제26b도 및 제26c도에는 단지 두 개만이 도시되어 있다)는 피봇 가능한 서셉터(438) 주위에 (제26b도에 도시된 바와같이)하향 연장된다. 핑거(440)는 서셉터(438)를 통해 연장될 수도 있다. 핑거(218 및 440)는 축에 대해 서로 오프셋되어 있더라도 동일 수직 축에 대해 120°간격으로 이격된다. 모터 또는 솔레노이드(910)가 작동될 때. 핑거(440)는 수직 축을 따라 상향으로 이동되어, 외주(49)에 인접한 위치에서 웨이퍼(48)를 결합시킨다. 웨이퍼(48)의 면(54)는 하향하여 있으며, 핑거(440)의 외주(49)에 인접한 면(54)에 결합된다.
지지부(912, 제26b도 및 제26c도)는 서셉터(438) 및 다른 모터(920, 제26a도)에 부착된다. 모터(920)는 처리 모듈(914)의 외부에 장착되며 진공 시일(922, 제26a)을 통해 지지부(912)에 연결된다. 따라서, 지지부(912)는 처리 모듈(914, 제26a도)의 일반적인 지지 구조물에 회전가능하게 부착되어 축(916, 제26a도)을 중심으로 회전한다. 지지부(912)가 제26b도에 도시된 바와같이 반시계 방향으로 축(916)을 중심으로 회전하면, 지지부(912)는 제26b도에 도시된 위치로부터 90°인 제26c도에 도시된 위치로 이동된다. 또한, 서셉터(438), 솔레노이드(910) 및 웨이퍼(48)도 회전된다. 셔터(918)는 스퍼터 타게트(432)를 선택적으로 덮도록 설치된다. 셔터(918)는 제26b도에서는 폐쇄 위치에 있으며 제26c도 및 제26a도에서는 개방 위치에 있다. 셔터(918)는 개방 위치와 폐쇄 위치 사이에서 모터(924, 제26a도)에 의해 회전된다. 모터(924)가 처리 모듈(914)의 외부에 장착되며, 진공 시일(926)을 통해 셔터(918)에 연결된다.
웨이퍼가 암(28)에 의해 핑거(214) 상으로 이송되고 암이 수축된 후, 핑거(214)는 서셉터(438)에 웨이퍼(48)를 클램핑시키도록 상향 이동된다. 이는 제26b도에 도시된 위치이다. 웨이퍼가 제26b도에서 도시된 수평 위치 내에 있는 동안, 상술된 바와같이 원격 플라즈마를 통해 CF4및 O2혼합물을 유동시킴으로써 또는 웨이퍼 면으로부터 이격된 플라즈마로부터 원위치 자외선 조사를 제공함으로써 예를들어 클린업 작업이 수행될 수 있다.
상기 작업 후 핑거(440)는 상향 이동되어, 서셉터(438)에 웨이퍼를 클램핑시킨다. 그 후, 핑거(214)는 하강되며 상부 챔버(218)는 개방된다. 서셉터는 모터(920, 제26a도)에 의해 제26b도에 도시된 어느 정도의 수평 위치로부터 제26c도에 도시된 어느 정도의 수직 위치로의 반시계 방향으로 회전된다. 모터(920)를 사용하여 서셉터(438)를 피보팅시킴으로써 웨이퍼(48)는 처리 공간(430)으로 이송된다. 웨이퍼가 제26c도에 도시된 바와같이 상부 또는 수직 위치에 위치된 후, 예를들어 피봇 가능한 서셉터(438)의 축에 수직으로 다른 축 상에서 피봇될 수 있는 셔터(918)가 상부 챔버(218)로부터의 분리를 보장하기 위해 사용된다.
웨이퍼(48)가 제26c도에 도시된 수직 위치로 회전된 후, 셔터(918)가 제26b도에 도시된 바와같이 폐쇄 위치 내에 있는 동안 스퍼터 모듈(930)은 셔터(918) 상에 스퍼터링함으로써 타게트를 클리닝하기 위해 순간적으로 가동될 수 있다. 그 후, 셔터(918)는 제26c도에 도시된 개방 위치로 수축된다. 스퍼터 증작은 종래의 조건하에서 달성되었다. 이 때, 상부 챔버는 100mTorr 미만(예를들어 30mTorr)의 압력으로 유지되며, 셔터(918)가 회전되어 스퍼터 타게트(432)를 벗기며, 1000V의 전위가 음극(436, 제26a도)과 스퍼터 타게트(432) 사이에 인가된다. 증착 효율을 향상시키기 위해, 보다 작은 바이어스(예를들어 200V)가 웨이퍼(48)와 스퍼터 타게트(432) 사이에 인가될 수 있다. 스퍼터 작업이 완료된 후 셔터는 폐쇄되며, 서셉터(438) 및 웨이퍼(48)는 제26b도에 도시된 위치로 회전된다.
상부 챔버(212)에서 처리를 수행하고자 할 경우, 핑거(214)는 상승되며 상부 챔버(212)가 폐쇄된다. 이 때, 핑거(440)는 하강된다. 소정의 처리(예를들어 클린업 처리)가 수행된다. 그 후, 웨이퍼는 제1도, 제3도 및 제4도에 관련하여 상술된 바와같이 암(28)에 의해 처리 모듈(914)로부터 이송될 수 있다.
웨이퍼가 처리 모듈(914)로부터 이송될 수 있는 대신에, 서셉터(438)가 시계방향으로 제26b도의 위치로 회전된 후에 이송 암(28)이 처리 모듈(914) 내로 들어갈 수 있다. 암이 웨이퍼(48) 아래에 위치된 후 핀(50, 제1도 및 제3도)이 웨이퍼(48)와 접할 때까지 암이 수직으로 이동될 수 있다. 이 때, 핑거(440)는 하강되며, 암(28)은 약간 하강되어 처리 모듈(914)로부터 나온다. 스퍼터 중의 압력은 200mTorr 미만이어야 한다.
제27도는 웨이퍼(48)와 유사한 여러 개의 웨이퍼(942)를 동시에 처리할 수 있는 능력을 갖는 처리 모듈(940)을 도시한 것이다. 제1도, 제3도 및 제4도에 도시된 바와같이 챔버(12) 및 암(28)은 제1도에 도시된 캐리어로부터 처리 모듈(940)로 웨이퍼를 이송한다. 처리 모듈(940)은 고압(예를들어 100 대기압)에 견디도록 된 강철 외부 재킷(944)을 갖는다. 재킷(944)은, 예를들면 300계 스테인레스강으로 제조될 수 있다. 웨이퍼(942)는 암(28, 제1도, 제3도 및 제4도)과 유사한 암(도시되지 않음)에 의해 처리 모듈(940) 내로 위치된다. 웨이퍼는 석영 로드(948)의 슬롯(946) 내로 위치된다. 석영 로드(948)는 상부 챔버(950)를 통해 수직으로 연장된다. 단지 두 개의 로드(948)가 제27도에 도시되어 있지만, 추가의 로드, 예를들어 제27도에 도시된 웨이퍼(942)에 결합하도록 챔버(950)의 우측에 위치된 로드가 제공될 수 있다. 슬롯(946)들 간의 거리는 암이 인출을 위해 적층된 웨이퍼(942)에 도달하기에 충분하게 될 수 있다. 또는, 슬롯(946)들 중 하부 슬롯은 챔버(950)의 하부(952)로부터 충분한 거리를 두고 있어 암이 가장 하부 웨이퍼를 위치시킬 수 있다. 웨이퍼(942)는 상부 슬롯으로부터 각각의 중간 슬롯 내로 하부 슬롯이 최종적으로 채워지도록 위치될 수 있다.
챔버(950)의 내부 벽(957) 및 하부 챔버(955)는 석영으로 제조된다. 내부 벽상의 응력을 최소화하기 위해 고압 작업 중 재킷(944)과 내부 벽(953) 사이의 챔버(950)내의 압력과 동일하게 된다. 재킷(944) 및 내부 벽(957)과 챔버(950) 사이의 공간에 각각 연결된 체크 가스 밸브(960 및 962)는, 필요시 초과 압력을 배출시킴으로써 내부 벽(957) 상의 압력차의 응력이 너무 크게 되지 않도록 압력을 제어하는 컴퓨터 제어 시스템(206)에 의해 제어된다. 예를들어, 챔버(950) 내의 압력이 재킷(944)과 내부 벽(957) 사이의 공간 내의 압력보다 크게 되면, 시스템(206)은 벨브(962)를 작동시켜 압력들이 적절한(예를들어 거의 동일한) 레벨로 될 때까지 압력을 강하(release)시킨다.
하부(952)는 하부 챔버(955)에 공급된 가스를 위의 챔버(950) 내로 상향 이동시키는 다수의 구멍(965)을 갖는다. 가스는 파이프(970 내지 972)를 통해 하부 챔버(955)로 공급된다. 파이프(970 내지 972)는 임의의 적절한 재질로 제조될 수 있다. 파이프(970 및 971)는 소정 처리를 수행하기 위해 챔버(950) 내에 사용된 고압(100 대기압) 처리 가스를 하부 챔버(955)로 공급한다. 파이프(972)는 재킷(944)과 내부 벽(957) 사이의 공간으로 세척 가스를 공급한다. 필요한 진공은 펌프(975)에 의해 챔버(12)로, 펌프(976)에 의해 챔버(955)로 그리고 다른 펌프(도시되지 않음)에 의해 챔버(955)로 파이프(978)를 통해 공급된다. 본 명세서에 도시된 다른 처리 모듈과는 달리 처리 모듈(940)의 처리 챔버(950)는 상부 챔버 내로 웨이퍼를 상향 이동시킴으로써 밀봉되지 않는다. 모듈(940)에서, 벨로우즈(981)를 사용하여 내부 벽(957)의 수직부(980)를 상하향 이동시킴으로써 처리 챔버(950)가 밀봉되거나 밀봉 해지된다. 개방 위치에서 암(28)과 유사한 이송 암(28)은 출입구(31)가(제27도에 도시된 바와같이) 개방될 때 포트(30)를 통해 웨이퍼(942)를 이송시키기 위한 챔버(950)로의 접근로(access)를 갖는다. 챔버(950)가 폐쇄되면 처리가 수행된다. 가열기(982)가 챔버(950)내의 내부 벽(957)상에 설치되어 챔버(950) 내에서 수행되는 처리를 위한 열을 제공한다.
작동시 캐리어(10)는 개방되며, 웨이퍼(942)는(제1도, 제3도 및 제4도에 관련하여 상술된 바와같이) 진공 상태 하에서 캐리어(10)로부터 챔버(950) 내로 이송된다. 그 후, 출입구(31)거 폐쇄된다. 파이프(970 및 971)로부터 재킷(944) 및 내부 벽(957)과 챔버(950 및 955) 사이의 공간 내로 가스가 공급된다. 그 후, 챔버(950)는 폐쇄되며, 파이프(970, 971 및 972)를 통해 공급된 O2, 수소 및 질소와 같은 가스로 고압에서 처리가 각각 수행된다. 필요시 가열기(982)로부터 열이 가해진다. 처리가 완료되고 파이프(970 및 971)로부터 가스 공급이 중단된 후, 챔버(950)는 파이프(972)로부터의 가스(예를들어 N2)에 의해 세척된다. 그 후, 챔버(950)는 소정의 진공 상태로 된다. 그 후에, 필요시 진공 처리가 수행될 수 있다. 챔버(950)는 개방되며, 웨이퍼는 포트(30)를 통해 캐리어(10)로 이송된다. 캐리어(10)는 제1도, 제3도 및 제4도에 관련하여 상술한 바와같이 폐쇄된다. 모듈(940)이 5개의 웨이퍼를 수납할 수 있는 것으로 도시되어 있지만, 모듈은 그 이상이나 그 렘를 제공하도록 될 수도 있다. 5개 미만의 웨이퍼는 예를들어 한번에 하나씩 처리될 수 있다.
고압 처리 모듈은 집적 회로 웨이퍼가 진공 상태 하에서 많이 이송되고 처리되는 시스템에 적합하다. 압력 용기(vessel)는 예를들어 0.2리터 정도로 매우 작을 수 있다. 즉, 압력 용기는 거의 모든 내부 지점들이 챔버 이하에 적재될 수 있는 웨이퍼들 중 하나의 웨이퍼의 1cm 또는 2cm 내에 있는 전체 가압 용적을 갖는다.
모듈(940)은 산화물 성장과 같은 제한된 반응인 저속 처리와 같은 다른 용도를 가지며, 여러 개의 웨이퍼들이 동시에 처리되기에 적합하다. 이는 필요시 파이프(970 내지 972)로부터 제공되는 가스 없이도 실행될수 있다. 따라서, 이러한 모듈(970)은 응용 특수 집적 회로(Application Specific Integrated Circuit)를 처리하기에 적합하다.
고압 작업의 기계적 강도의 설계 구속 요건이 완화된다. 또한, 이는 고압 모듈의 가압 및 배기가 보다 신속하게 수행될 수 있다는 것을 의미한다. 또한, 진공 처리 시스템에 적합한 모듈 내에서 고압 처리(예를들어 고압 산화)를 수행할 수 있는 능력은 시스템 효율을 증가시키며, 진공 처리 시스템 외부에서 필요한 산화 단계를 수행할 필요성을 제거한다.
이러한 종류의 실시예들은 저입자 진공 처리 시스템에 적합하면서(통상 고입자 작업인) 종래의 화학로(furnace)의 능력을 제공한다. 특히 이러한 실시예들은 매우 좁은 면적 내에서[통상적으로 비교적 큰 바닥 공간 및 배관(plumbing)을 필요로 하는] 종래 화학로 능력을 유리하게 제공한다.
처리 모듈(940)의 사용 예로서, HgCdTe는 고압에서 가스상 산화 또는 황화를 사용하여 표면 안정화 층을 형성하도록 처리될 수 있다. HgCdTe 기판은 50℃ 내지 150℃로 가열되며, 산화물 박막이 형성된다. 황의 공급원(예를들어 H2S는)이 예를들어 50 내지 100 대기압의 압력에서 100sccm으로 파이프(970)로부터 공급될 수 있다. 황분리 박막이 형성된다. 또한, 10 내지 100 대기압의 압력에서 수증기/산소 혼합물을 발생시키기 위해, 예를들어, 100sccm의 산소 및 40sccm의 수소를 사용하여 산화시킬 수 있다.
제28도는 주입기로서 사용하도록 된 처리 모듈(1000)을 도시한다. 주입기는 웨이퍼(예를들어 웨이퍼(48)의 표면 내로 도우펀트를 위치시키거나 또는 주입하도록 사용된다. 웨이퍼(48)는 제1도, 제3도 및 제4도에 관련하여 상술된 암(28)과 유사한 암(도시되지 않음)에 의해 모듈(1000) 내에 배치된다. 챔버(12) 및 캐리어(10)는 제1도, 제3도 및 제4도에 관련하여 상술된 바와같이 사용된다. 진공 펌프(1002) 및 밸브(1004)는 필요한 진공을 제공하기 위해 모듈(1000)의 내부에 연결된다. 필요시에, 다른 펌프 및 밸브가 제공될 수 있다.
웨이퍼(48)는 암(도시되지 않음)에 의해 챔버(12) 및 [제3도의 포트(30)와 유사한] 포트를 통해 캐리어(10)로부터 상부 챔버(1006) 내로 위치된다. 도전성이 되도록 강하게 양극 산화 처리된 알루미늄 또는 실리콘으로 구성될 수 있는 핑거(214) 상에 웨이퍼가 위치된다. 상부 챔버(1006)는 벨로우즈(1008)의 상향 수직 이동에 의해 폐쇄된다. 웨이퍼가 챔버(1006) 상부 내의 전극(1010)과 접할 때까지 핑거(214)가 상승된다. 이는 제28도에 도시된 웨이퍼(48)의 위치이다. 웨이퍼(48)의 하향 면(54) 내로 주입될 물질을 함유하는 가스는 예를들어 As와 같은 가스 공급원(도시되지 않음)으로부터 파이프(1014)를 통해 가열 챔버(1012)로 들어간다. 가열 챔버(1012) 내에서, 파이프(1014)로부터의 가스는 특정 도우펀트에 적합한 온도(예를들어 비소의 경우 350℃, 인의 경우 280℃)로 가열된다. 그 후, 가스는 파이프(1022)를 통해 마이크로웨이브 공동(1020) 내로 상향으로 유동된다. 추가의 가스(예를들어 He 또는 Ar)가 파이프(1024)를 통해 공동(1020)내로 공급될 수 있다. 또한, P-형 도우펀트로서 사용하기 위한 추가의 가스(예를들어 BF3)가 파이프(1024)를 통해 공급될 수 있다. 가스는 공동(1020) 내의 마이크로웨이브 에너지의 형향을 받는다. 이 가스는, 예를들어 0.1Torr의 압력을 갖는 공동(1020) 내의 자유 래디칼(free radical)로 된다. 이 가스는 공동(1020)으로부터 배출되며, 파이프(1026)를 통해 상부 챔버(1006) 아래에 배치된 하부 챔버(1028) 내로 들어 간다. 파이프(1026)는 하부 챔버(1028) 아래의 챔버(1030)의 중심부를 통과한다. 특히, 챔버(1028)는 벨로우즈(1008)에 의해 수직 축을 따라 부분적으로 둘러싸여진다.
가스는 하부 챔버(1028)로부터 석영 샤워헤드(1032)를 통해 상부 챔버(1006) 내로 통과한다. 샤워헤드(1032)는 상부 챔버(1006)와 하부 챔버(1028) 사이에서 수평으로 연장된다. 샤워헤드는 하부 챔버(1028) 내의 가스를 챔버(1006) 내로 통과시키는 다수의 개구(1036)를 갖는다. 샤워헤드는 석영 배플(1040)의 일부이다. 배플(1040)은 샤워헤드(1032)가 수평으로 연장되며 챔버(1006 및 1028)의 중심부를 통해 수직으로 연장된 축을 갖는 원통형이다. 샤워헤드(1028)는 필요시 제30c도에 도시된 형태로 될 수 있다. 챔버(1006) 내에서, 가스는 면(54) 내로 가스 내의 물질을 주입시키기 위해 웨이퍼(48)를 향해 가속된다. 이온 전류는 챔버(1006) 내의 압력에 따라서 조절되어야 한다. 두 개의 바이어스 판(1042 및 1043)은 챔버(1006) 외부의 배플(1040) 주위에 설치된다. 판(1042 및 1043)에는 음(negative) 전압 및 양(positive)전압이 각각 인가된다. 판(1042)은 아래에 배치되며, 판(1043)으로부터 이격되어 있다. 자석(1048)은 판(1043) 바로 위에 설치된다. 일반적으로, 자계는 웨이퍼 표면(54)으로부터의 자유 전자를 반발시키기에 충분한 세기로 되어야 한다. 예를들어, 100 내지 10,000V의 양(+) 전압이 전극(1010)에 인가된다. 자유 래디칼은 챔버(1006) 내에서 제어되며, 웨이퍼(48)를 향해 그리고 웨이퍼 내로 가속된다. 전극(1010)은 필요시 개구(1034)를 통해 유체를 통과시킴으로써 냉각될 수 있다.
처리 모듈(1000)은 일반적으로 웨이퍼가 진공 상태 하에서 면을 밑으로 하여 이송되어 처리되는 진공 웨이퍼 이송을 사용하는 시스템에 적합하다.
처리 가스 라인(32) 및 다른 공급기, 가스 라인, 그리고 본 명세서에 도시된 파이프(970 내지 972)와 같은 파이프는 표면에서의 입자 포획(entrainment)을 감소시키기 위해 이들의 내부 표면상의 가는 홈 또는 리블렛(riblet)을 갖도록 형성(또는 피막)된다. 드랙(drag)을 감소시키기 위해 공중 비행체(air vehicle)의 외부에 리블렛을 사용하는 것은 NASA Technical Briefs 5(2), 페이지 192(1980)에 기재된 Grooves Reduce Aircraft Drag 및 NASA Technical Briefs 11(3), 페이지 82(1987)에 기재된 Mission Accomplished 제안되어 있다. 그러나, 본 발명에서, 리블렛은 파이핑의 벽 상의 정체(stagnant) 경계층을 안정화시켜 파이핑을 통해 유동하는 가스가 벽에 부착된 입자에 상당한 압력을 가하여 입자를 분리시킬 기회를 감소시킨다. 처리 가스 공급원이 소정의 청결도(cleanliness)를 갖는 경우에, 이는 가스 내에 동반되어 처리 챔버 내로 이송되는 입자들의 수를 감소시킨다.
이들 리블렛의 형상 및 크기의 여러 실시예들이 제29a도, 제29b도, 제29c도, 제29d도, 제29e도, 제29f도 및 제29g도에 도시되어 있다. 인용된 NASA Technical Briefs는 공중 비행체 외부의 리블렛 사용을 제안하였으나, 본 발명의 장점은 파이프를 통해 유동하는 가스가 벽에 부착된 입자에 상당한 압력을 가하여 입자를 분리시킬 기회를 감소시키도록 이 홈 또는 리블렛이 사용되었다는 점이다. NASA 간행물은 홈들이 난류의 시발(incipient bursts of turbulence)을 제한하여 이동중인 항공기를 둘러싼 경계층을 팽창시켜 붕괴시킬 수 없도록 한다는 것을 나타낸다. 제29a도 내지 제29g도에 도시된 바와같이, 대부분의 홈 실시예는 일반적으로 V-형이나, 여러 가지 다른 형상을 가질 수 있다. 예를들어, 홈들은 대칭 또는 비대칭 단면의 둥글거나 예리한 피크(peak)를 가질 수 있다. 여러 가지 단면 기하학적 형상의 비대칭 홈은 공기 역학적 성능을 최적화시키도록 어느 정도 규칙적인 순서로 배열될 수 있다. 따라서, 본 발명에서, 이들 홈 또는 리블렛 파이프의 벽들 상의 정체 경계층을 안정화시키도록 사용된다. 처리 가스 공급원이 소정의 청결도를 갖는 경우에, 이는 가스 내에 동반되어 처리 챔버 내로 이송되는 입자의 수를 감소시킨다. 단지 하나 또는 두개의 홈 또는 리블렛만이 제29a도 내지 제29g도에 각각 도시되어 있지만, 다수의 이러한 홈 또는 리블렛이 본 명세서에 기술된 처리 모듈에 관련하여 도시된 내부 벽의 일부로서 파이프, 공급기 및 분배기 등에 포함된다.
제29a도는 파이프(1102)의 벽의 V형 홈을 도시한다. 파이프(1102)의 일부만이 파이프의 내부 벽의 일부를 가로질러 취해진 단면도 형태로 도시되어 있으며, 제29a도 내지 제29g도의 다른 파이프들도 마찬가지이다. 홈(1102)은 깊이가 0.025㎝(0.01인치)이며, 피크(1104, 제29a도 좌측)에서 피크(1006, 제29a도 우측)까지의 폭이 약0.114㎝(0.045인치)로 될 수 있다.
제29b도는 파이프(1112)의 벽의 다른 V형홈(1110)을 도시한다. 홈(1110)은 피크[1114(좌측) 및 1115(우측)] 사이의 폭이 약 0.025㎝(0.010인치) 내지 약 0.05㎝(0.020인치)의 범위 내에 있으며, 깊이는 약 0.05㎝(0.020인치)이다.
제29c도에서, 돌출부(1120)는 파이프(1122)의 내부 벽으로부터 연장된다. 돌출부(1120)는 피크(1124)에서 90°, 좌측 기부에서 30°, 우측 기부에서 60°인 삼각형 단면을 갖는다. 예를들어, 피크(1124)에서 기부까지의 거리는 약 0.025㎝(0.01인치)로 될 수 있으며, 기부 양단의 거리는 약 0.058㎝(0.023인치)로 될 수 있다. 홈 또는 리블렛이 다수의 돌출부들 사이에 형성된다. 파이프(1152)내의 다른 돌출부(1150)는 돌출부(1120)와 동일한 기본 형상으로 제29f도에서 도시되어 있다. 돌출부(1150)는 동일한 각을 가지며 예를들어 기부 양단의 거리가 약 0.117㎝(0.046인치)이며, 기부로부터 피크(1154)까지의 높이가 약 0.05㎝(0.02인치)이다.
다른 삼각 돌출부(1130)가 제29d도에 도시되어 있다. 돌출부(1130)는 피크(1132)에서 60°, 좌측 기부에서 40°, 우측 기부에서 80°를 갖는다. 돌출부는 파이프(1134)의 내부 벽으로부터 연장된다. 삼각형의 기부 양단거리는 약 0.07㎝(0.028인치)일 수 있으며, 기부에서 피크(1132)까지의 거리는 약 0.05㎝(0.02인치)이다. 파이프(1162) 내의 다른 돌출부(1160)는 돌출부(1130)와 동일한 기본 형상으로 제29f도에 도시되어 있다. 돌출부(1160)는 돌출부(1130)와 동일한 각을 가지며, 예를들어 기부 양단의 거리는 약 0.07㎝(0.028인치)이고, 기부로부터 피크(1164)까지의 높이는 약 0.05㎝(0.02인치)이다.
제29e도는 파이프(1142)의 내부 벽의 V형 홈(1140)을 도시한다. 예를들어, 피크(1144(좌측), 1145(우측)) 사의의 거리는 약 0.025㎝(0.01인치)일 수 있다. 피크(1144 및 1145)는 둥글게 되어 있다. 예를들어, 홈(1140)은 깊이가 약 0.05㎝(0.02인치)일 수 있다.
상술된 종류의 반응기 내에서 매우 성공적인 것으로 나타난 처리는 내화 금속을 포함하는 재질에 대한 비등방성 불소 에칭이다.
브롬 공급원(예를들어 HBr 또는 CF3Br)과 탄화수소의 결합은 불소계(fluorine-based) 에칭을 위한 매우 효율적인 표면 안정화 화학 조성을 제공한다. SF6, NF3,HF, F2, CF4, C2F6, BF3, 또는 SiF4와 같은 불소 공급원이 불소계 에칭을 위해 사용될 수 있다. 예를들어, 성공적으로 입증된 일 실시예는 다음과 같다. 개시 구조물은 텅스텐 박막을 포함하였다. 초기 가스 유동은 250mTorr의 전체 압력 및 500W의 인가된 RF 전력에서 50sccm의 SF6, 5sccm의 CH4및 15sccm의 HBr을 포함하였다. 패턴이 클리어되기 시작한 후, 추가의 20sccm의 WF6의 유동이 보다 상세히 후술되는 바와같이 추가되었다. 최종 구조물은 거의 수직 에칭 된 측벽, 단지 약간의 라인 폭 부식 레니스트에 대한 우수한 선택성을 나타내었다. 다른 처리에서, 과에칭(overetch)중 부하로서 작용하는 WF6를 갖는 불소 공급원은 라인 폭 손실을 감소시키는 것으로 알려졌다.
CH4의 분율 및 불소 공급원의 분율을 증가시킴으로써, 더욱 강한 표면 안정화 작용이 달성될 수 있다. 예를들어, 다음과 같은 상태의 생성물이 라인 폭 부식을 전혀 발생시키지 않는 것으로 알려졌다. 470mTorr의 전체 압력 및 40W의 인가된 RF 전체 레벨에서 40sccm의 SF6, 15sccm의 CF4및 25sccm HBr이 비교적 높은 전체 압력의 사용은 균일성을 유지시키는데 도움을 준다.
표면 안정제 증착 속도가 보다 증가되며, 음(-) 에칭 바이어스가 달성될 수 있다. 샘플 실시예에서, 텅스텐 박막은 다음과 같은 초기 가스 유동을 사용하여 에칭되었다. 470mTorr의 전체 압력 및 400W의 인가된 RF 전력 레벨에서 50sccm의 SF6, 18sccm의 CF6및 25sccm의 HBr 사용된 레지스트 패턴은 2.7미크론의 최소 피치(1.7미크론의 최소 라인 폭 및 1미크론의 최소 공간 폭)을 가졌다. 이러한 화학 조성의 사용은 0.6 내지 0.7미크론의 최종 에칭 공간 폭을 발생시키는 것으로 알려졌다. 따라서, 이러한 화학 조성은 약 0.15 내지 0.2미크론의 음 에칭 바이어스를 제공하였다. 상한치일 때, 다른 실험 결과, 다른 조건을 변화시키지 않고 21sccm으로 메탄 유동을 증가시키면 에칭이 전체적으로 일시 중단된다는 것, 즉, 텅스텐 에칭 속도가 0으로 된다는 것을 알 수 있다.
또한, 이러한 표면 안정화 화학 조성들이 고도의 비등방성 실리콘 에칭을 제공한다는 것이 발견되었다. 실험에 의해 성공적으로 입증된 하나의 특수 샘플 실시예는 다음과 같은, 에칭 화학 조성을 사용하였다. 초기 가스 유동은 250mTorr으 전체 압력 및 500W의 인가된 RF 전력 레벨에서 50sccm의 SF6, 5sccm의 CH4및 15sccm의 HBr을 포함하였다.
이들 조건은 25초 내에 실리콘 내로 3미크론의 깊이로 에칭되어, 레지스트에 대한 우수한 선택성을 유지하면서 거의 수직인 실리콘 측벽을 발생시켰다. 그러나, 이러한 에칭 조건들은 산화물에 대해 특정 선택성은 없다. 따라서, 이러한 에칭 화학 조성은 트렌치 에칭용으로 매우 유용하다. 장치 구조물 내의 트렌치의 장점은 오랫 동안 인정되어 왔지만, 이들은 속도가 느리고 트렌치 하부상의 역행 바우잉(bowing), 그루빙(grooving) 또는 꺼칠꺼칠함(asperity)과 같은 매우 바람직하지 못한 에칭 가공물을 발생시키기 쉬운 저압 에칭 조건에 의해 제조되어 왔다. 또한, 이는 저압 처리의 이들 난점들을 제거한다는 장점이 있다.
또는, 다른 불소 에칭용 화학 조성 군(family)은 SF6와 같은 불소 공급원, HBr과 같은 브롬 공급원 및 매우 약한 산소 공급원(예를들어 일산화 탄소)을 포함하는 공급 가스 혼합물을 사용한다. 이러한 화학 조성은 포토레지스트에 대한 양호한 선택성을 갖는 비등방성 고속 불소 에칭을 제공한다.
기술된 처리의 샘플 실시예는 다음과 같이 성공적으로 입증되었다. 개시 구조물은 현상된 유기 포토레지스트의 패턴화된 층으로 덮여진 텅스텐 박막을 포함하였다. 초기 가스 유동은 300mTorr의 전체 압력 및 175W의 인가된 RF 전력 레벨에서 25sccm의 SF6, 25sccm의 HBr 및 40sccm의 CO를 포함하였다. 과에칭 기간 동안 추가의 20sccm의 WF6의 유동이 추가되었다. 최종 구조물은 급경사 측벽, 단지 적당한 라인 폭부식 및 포토레지스트에 대한 약 2 : 1의 선택성을 나타냈다.
이러한 화학 조성은 일산화 탄소 대신 다른 약한 산소 공급원으로 대체함으로써 변형될 수 있었다. 즉, N2O 또는 CO2와 같은 약한 산소 공급원이 대신에 사용될 수 있었다. 실제로, CO 대신에(1sccm 미만의) 매우 작은 O2의 유동을 사용함으로써 소정의 이점을 가질 수 있게 되나, 이러한 매우 작은 유동은 종래의 반도체 제조 장비로 재생 가능하게 제어하기가 어렵다.
또는, 다른 불소 에칭용 화학 조성 군은(SF6와 같은) 불소 공급원, 플루오로실란(예를들어 SiF4), (HBr과 같은) 브롬 공급원 및 일산화 탄소와 같은 약한 산소 공급원을 포함하는 공급 가스 혼합물을 사용한다. 이러한 화학 조성은 포토레지스트에 대한 양호한 선택성을 갖는 비등방성 고속 불소 에칭을 제공한다.
이 처리의 샘플 실시예는 다음과 같이 성공적으로 입증되었다. 개시 구조물은 패턴화되고 현상된 유기 포토레지스트 재질 층에 의해 덮인 텅스텐 박막을 포함하였다. 초기 가스 유동은 350mTorr의 전체 압력 및 175W의 인가된 RF 전력 레벨에서 25sccm의 SiF4, 25sccm 의 SF6, 25sccm의 HBr 및 30sccm의 CO를 포함하였다. 과에칭 기간동안 레지스트 부식을 방지하기 위해 추가의 30sccm의 WF6의 유동이 기술된 다른 유동에 추가되었다. 최종 구조물은 거의 수직 에칭된 측벽, 단지 약간의 라인 폭 부식 및 포토레지스트에 대한 약 3 : 1의 선택성을 나타냈다.
2단 샤워헤드(280, 제30c도)는 제3가스 공급기(250)의 단부와 웨이퍼(48)의 하부면(54) 근처의 상부 챔버(218) 사이에 위치된다. 이러한 샤워헤드의 일 예는 제30c도에 도시되어 있다. 두 개의 배플(284 및 286)은 하우징(282) 내에 수평으로 고정되어 유지되며, 배플(286)은 배플(284) 아래에 설치된다. 제3가스 공급기(250)는 배플(286) 아래에 설치되며, 가스는 배플(286)내의 구멍(290) 및 배플(284) 내의 개구(1202)를 통해 가스 공급기(250)로부터 상향 통과한다. 두 개의 배플은 모두 제3가스 공급기(250)로부터 웨이퍼 상부 챔버(218) 내로의 처리 가스 유동을 차단하도록 배치되며, 제2배플(284) 내의 어느 구멍(288)도 제1배플(286) 내의 임의의 구멍(290)과 직접 정렬되지 않도록 두 개의 배플이 정렬된다. 샤워헤드(280)는 필요시 본 명세서에 기술된 처리 모듈과 함께 사용될 수 있다. 하우징(282)은 여러 가지 형상을 가질 수 있으며, 예를들어 깔때기의 좁은 부분이 공급기(250) 주위에 설치되고 배플들이 깔때기의 원통형 부분 내의 상부에 설치된 깔때기 형태로 될 수 있다.
본 실시예에서 2-단 샤워헤드는 튜프레임 피막된(tufram-coated)[테프론 함침(TM, Teflon-impregnated) 양극 산화 처리된] 알루미늄, 테프론 또는 석영으로 제조된다. 성공적인 실험 결과, 약간 다른 샤워헤드 기하학적 형태(예를들어, 가스 분산 구멍이 웨이퍼로부터 이격되어 위치된 원형 링을 갖는 석영 튜브)도 가능하나, 2단 샤워헤드가 높은 시스템 효율 및 균일성으로 인해 더욱 유용하다는 것이 입증되었다.
원격 플라즈마 처리가 비교적 새로운 방법이기 때문에 불균일성을 다루는 종래의 처리 방법은 거의 없다. 어떤 제조업체는 두 개의 동심원 내의 상당히 큰 구멍[약 0.635cm(약 0.25인치)의 내경]을 가지며 중심에 하나의 작은 구멍[약 0.38cm(약 0.15인치)의 내경]을 갖는 단일 샤워헤드를 사용하였다. 이는 샤워헤드가 없는 것보다는 개량된 것이지만, 다른 시판중인 포토레지스트 스트립퍼(stripper)가 셋업될 때 상당히 높은 속도가 여전히 웨이퍼의 중심에서 발생한다. 레지스트 제거 패턴은 샤워헤드 내의 구멍의 패턴을 선명하게 복사한다. 웨이퍼에 대한 레지스트 제거 도표가 제30a도에 도시되어 있다. 비교를 위해 어떠한 샤워헤드도 없이 얻어진 결과가 제30b도에 도시되어 있다.
제30b도의 곡선은, 웨이퍼의 중심으로부터의 거리가 증가하면 제거된 레지스트 량(두께)이 감소된다는 것을 나타낸다. 제30a도의 고선은 2단 샤워헤드를 사용하면 레지스트 제거의 불균일성이 크게 개선된다는 것을 나타낸다.
단일 샤워헤드의 고장은 반응기 내의 가스 유동의 성질 때문에 발생한다. 이 유동은 점성 층류이며, 다음과 같은 튜브에 대하 속도 프로파일을 발생시킨다.
Figure kpo00001
튜브 벽(r=R) 근처에서 가스 속도는 매우 낮으나 튜브(r=0) 중심에서 가스는 평균 속도 v의 2배까지 매우 빠른 속도로 이동한다. 이러한 속도 분포를 갖는 가스가 웨이퍼에 충돌되면, 속도가 낮은 곳보다 속도가 높은 곳에 보다 많은 반응물(reactant)이 이송된다. 이는 상술된 불균일성을 야기시키지만, 본 명세서에 기술된 실시예들은 이러한 문제점을 해결한다.
이것의 해결 방법은 가스 스트림 내의 한 지점으로부터 다른 지점까지의 속도의 차이를 감소시키는 것이다. 이는 반응기 단면이 큰 배출관의 하류에서 달성되며, 가스 유동은 웨이퍼에 도달하기 전 수학식 1에 의해 주어진 정상 상태로 복귀되지 않는다. 샤워헤드 구멍을 직접 통과하는 가스 속도가 크게 변화되지 않기 때문에, 어느 가스 파셀(parcel)도 배출관으로부터 웨이퍼까지의 직접 또는 직선(line-of-sight) 통과가 허용될 수 없다. 직선 통과가 유지되는 경우, 가스는 우선적으로 이 통로들을 통과하게 된다.
직선 통과를 방지하기 위해, 제2샤워헤드 또는 배플이 필요하다 이 배플의 필수적인 특징은 제30c도에 도시된 바와같이 배출관으로부터 하부 샤워헤드를 통한 직접적인 가스 유동을 차단시키는 것이다. 이렇게 함으로써, 가스 파셀은 충분히 혼합되어 비교적 균일한 속도 프로파일이 하부 배플 하부에서 나타난다. 가스에 의해 부딪히는(encounter) 제1샤워헤드 부품은 (1) 제2샤워헤드 부품을 통해 가스의 직접적인 통과를 차단하도록 연결된 다수의 배플, 또는 (2) 제2샤워헤드 부품에 부딪히기 전 속도 벡터가 축방향으로부터 방사상방향으로 강제 변환된 전방 이동을 완전히 저지하기 위한 단일 솔리드(solid)배플, 또는 (3) (1) 과 (2)의 중간 구조물로 구성될 수 있다.
배플 및 하우징에 대한 다른 형상을 사용한 결과는 제30d도에 도시되어 있다. 제1샤워헤드가 제2샤워헤드 내의 중심 구멍을 바로 덮는 원추형 매플로 구성된 2단 샤웨헤드 개념을 적용한 결과인 제30d도의 곡선은 개량되었지만 아직 최적화되지 않은 애슁 균일성을 나타낸다. 이는 사용된 특정 화학 조성이 다르지만 배플없이 제2샤워헤드를 사용하여 얻어진 제30a도와 비교될 수 있다. 이 샤워헤드는 제30c도에 도시된 배플(284)과 유사한 배플을 가질 수 있다. 또한, 이는 원추 지점이 상향되어 공급기(250)의 단부 바로 위에 원추 지점이 있는 하부 배플을 가질 수 있다. 원추의 직경은 공급기(250)의 직경보다 조금 클 수 있다. 또한, 원추를 뒤집을 수도 있다. 다른 두 샤워헤드 구조가 가능하다.
챔버 벽을 따른 후속 가스 유동이 웨이퍼에 도달하기 전 새로 발생된 가스 속도 분포에 거의 영향을 미치지 않도록, 챔버 벽은 샤워헤드 및 웨이퍼로부터 충분히 이격되어 있어야 한다. 이러한 균일한 속도 분포의 효과는 본 명세서에서 참조된 에이치. 슐라이팅(H. Schlichting)저, Boundary-Layer Theory,(제7권, 1979)로부터 발췌된 제30e도에 도시된 바와같이 표면위로 두께(d)의 균일한 두께의 경계층을 발생시키는 것이다. 균일한 경계층에 의해 웨이퍼로 반응물의 균일한 이송이 야기된다.
샤워헤드를 형성하는 재질은 세라믹, 경질 양극 산화 처리된 알루미늄, 스테인레스강, 테프론 또는 석영일 수도 있으며, 선택은 처리 가스와의 적합성에 따른다. 치수는, 균일한 속도 분포에 영향을 미치지않도록, 반응기가 웨이퍼로부터 충분히 이격되어 있으면, 소정의 웨이퍼 크기에 맞도록 선택될 수 있다. 구멍의 크기는, 전체 가스 효율을 거의 방해하지 않거나 또는 표면 상의 활성 화학 성분의 손실을 야기시키지 않으며 가공이 용이하도록 상당히 커야 한다(양호하게는 0.25㎝(0.1in) 내지 약 0.64㎝(0.25in). 두 샤워헤드 펀들 사이의 거리는 적어도 구멍의 직경만큼 커야 한다. 두 샤워헤드 부분은 면을 밑으로 한 처리용으로 될 수도 있다.
따라서, 이러한 실시예들은 (1) 고속으로 유동하는 원격 플라즈마 시스템 내의 모든 등방성 처리에 대한 적용성, (2) 균일한 처리 결과의 촉진, (3) 높은 에칭 및 증착 속도에 대한 고반응물 효율의 유지, (4) 처리 적합성에 대한 융통성 있는 재질 선택, 및 (5) 면을 밑으로 한 처리의 적용(comprehension)과 같은 장점을 제공한다.
소정의 관련 배경 정보는 본 명세서에 참고문헌으로 사용된 씨.제이. 하워드(C.J. Howard)저, J. Phys.Chem.,제83권, 페이지 6(1979)에 되어 있다.
본 명세서에 기술된 하나의 처리는 중합체 및 다른 유기 잔류물 제거 처리인 디스컴 처리(deacum process)를 제공한다. 이 처리는, 향상된 결과를 달성하기 위해, 2단 샤워헤드(제30c도)를 포함하는 분배기를 통해 공급된 원격 플라즈마를 사용한다.
포토레지스트를 사용할 때 필요한 일반적인 처리는 디스컴이라는 단계이다. 통상적인 포토레지스트 처리는 레지스트가 노출되어 현상된 후 전체적으로 깨끗한 패턴을 제공하지 못한다. 깨끗하게 되어야 하는, 고분자 중합체 화합물(high-molocular-weight polymeric compounds)의 상당한 잔류물을 여전히 포함하는 패턴 내의 영역이 있다. 통상, 이들은 침식성(aggressive) 등방성 에칭에 의해 제거되어야 한다. 예를들어, 비노출 영역 내의 1.4미크론 두께의 포토레지스트 층은 깨끗하게 되어야 하는 영역 내의 0.5미크론 이상의 레지스트 잔류물을 여전히 포함할 수 있다. 종래에, 이는 습식 처리 단계로서 행해졌지만, 본 명세서에 기술된 실시예는 건식 처리로 이 기능을 수행하기 위한 방법을 제공한다.
이 처리의 한 실시예에서, 패턴화된 포토레지스트는 100℃ 및 1Torr 전체 압력에서 1000sccm의 O2및 200sccm의 H2처리 혼합물을 사용하여 성공적으로 디스컴됨이 입증되었다. 질량 유동의 선택은 O2질량 유동에 비례하는 높은 제거 속도를 얻도록 셋팅되었으나, 질량 유동 및 압력에 반비례하는 웨이퍼에 대한 균일한 제거를 유지하였다. 반응기는 400W에서 가동된 원격 플라즈마 챔버(254)를 통과하는 모든 가스로 셋업된다.
처리 화학 조성은 O2그리고 N2O, H2O, H2, CF4, CHF3, HCl, HBr 및 Cl2중 하나이상의 화합물을 선택적으로 포함한다. 이들 중에서, H2가 몇가지 경우에 가장 유용한 첨가 가스이며, 그 이유는 다음과 같다. (a) N2O 부가는 특히 저온에서 H2만큼 속도를 향상시키지 못한다. (b) 할로겐-함유 가스는 기판 상에 존재하는 금속에 악영향을 미칠 위험성이 있다. 이러한 제한 조건이 제거되면, CF4및 CHF3는 H2보다 한 승수(order) 정도 큰 디스컴 속도를 제공할 수 있기 때문에 매우 양호한 선택이 될 수 있다. CF4및 CHF3가 안고 있는 나머지 문제점은 F 원자의 존재로 인한 반응기 재질-적합성 문제점이다. 이것은 테프론(TM) 샤워헤드를 사용함으로써 해결될 수 있다.
사용된 수소 화합물은 레지스트 재질 내의 불포화 결합의 개방을 도움으로써 반응에 유리하게 관여할 수 있다.
선택성은 디스컴 처리 내에서 매우 결정적인 것은 아니지만, 실제로 상술된 처리는 실리콘에 대한 양호한 선택성을 가지며, 이것은 하나의 장점이 된다.
사용된 레지스트는 표준 포지티브 레지스트였다. 특정 검사예에서는, MF-314 현상액으로 현상된 Shipley 1813(TM) 레지스트가 사용되었다. 노출부족으로 인한 스컴을 제공하도록 약 250msec 동안 i-라인 파장에서 패터닝이 수행되었다. 레지스트는 연구 목적용으로 나(bare) Si 상에 있었으나, 실제 사용할 때에는 에칭될 막(예를들어 알루미늄) 상부 사의 포토레지스트로 처리가 실행될 것이다. 샘플은 소정의 패턴들 사이에 상당량의 비노출 포토레지스트를 남기도록 처리되었다. 실제로, 실제 경우에 발생될 수 있는 것보다 적어도 한 오더 정도 더 불량한 5000Å 만큼의 포토페지스트가 요구된 레지스트 패턴들 사이에 남게 되는 것으로 SEM 사진 측정에 의해 추정된다. 이 경우에, 중간 스컴은 광학 현미 기술(optical microscopy)에 의해 6분 내에 제거되었다. 따라서, 실제로 통상 존재하는 500Å 이상 정도의 스컴에서는 처리는 1분 이내에 수행될 것이다.
에칭 또는 증착 적용을 위한 활성 화학 성분을 발생시키기 위해 원격 플라즈마를 사용하는 처리가 갖는 일반적인 문제점은 웨이퍼 표면에 대한 처리 균일성이 불충분하다는 점이다. 이는 웨이퍼 표면 바로 위에 정체 가스의 경계층을 형성하게 하는 가스 유체역학적인 결과(a consequence of gas hydrodynamics)이다. 정체 가스는 웨이퍼로 그리고 웨이퍼로부터의 반응물 및 생성물의 이송을 방해한다. 이러한 문제점은 웨이퍼의 엣지에서보다 반응 챔버로의 배출관의 입구 바로 아래에서 레지스트 제거 속도가 통상 수배 더 높은 포토레지스트 애슁에서 예시된다. 이 경우, 불충분한 균일성은 디스컴 작용을 위한 장비의 사용을 불가능하게 한다. 특수하게 설계된 2단 샤워헤드를 사용하는 본 발명의 원리는 원격 플라즈마 적용예의 가스 분배기로서 크게 향상된 균일성의 장점을 제공한다.
제31도에서 진공 처리 시스템용의 전기 계기 및 제어 시스템(700)의 블럭 선도가 도시되어 있다. 이 시스템은 8088-계 PC, 특히 Texas Instruments Professional Computer일 수도 있는 컴퓨터 제어 시스템(206)에 의해 제어된다. 컴퓨터 제어 시스템은 필요시 특수 처리 공정을 수행하도록 프로그램될 수 있다. 처리가 개시될 때, 컴퓨터 제어 시스템(206)은 처리 유동을 감시하며 제어한다.
시스템은 제어 시스템(206)에 입력 신호를 제공하는 다수의 처리 감시 기계를 가지며, 이들 입력 및 프로그램된 처리 공정에 기초하여, 제어 시스템(206)은 제어기에 또는 특정 부품에 직접 출력을 제공한다. 컴퓨터 제어 시스템(206)의 입력과 출력에 대해서는 후술하기로 한다.
진공 웨이퍼(10, 제1도)가 진공 로드 록크 챔버(12)내에 배치되어 로드 록크 뚜껑(20)을 닫은 후, 자동 처리 공정을 개시한다. 처리 공정 및 개시를 포함하는 컴퓨터 제어 시스템과 키보드 상호 작용은 메뉴 구동된다. 초기 시동 공정중, 실제 처리가 개시되기 전 초벌 펌프, 터보 모레큘러 펌프 및 필요시에 저온 진공 펌프가 모두 작동 개시된다.
세척 및 펌핑 압력 강하 기능 공정은 제11도에 도시되어 있으며, 적당한 곳에서 참조되었다. 처리(800)가 개시되면, 컴퓨터 제어 시스템은 단계(804)에 도시된 바와같이 초벌 펌프 로드 록크 분리 밸브(702)를 개방시키기 위한 신호를 보내는 로드 록크용 초벌 펌프 제어기(701)에 신호를 보낸다. 그 후, 초벌 펌프는 진공 로드 록크 챔버(12)를 진공 상태로 만들기 시작한다.
단계(802)에 도시된 바와같이, 그 후, 제어 시스템(206)은 로드 록크 질소 세척 밸브(703)를 개방시키기 위한 신호를 보낸다. 이는 진공 웨이퍼 캐리어(10)의 외부 표면을 오염시키는 임의의 입자를 표면으로부터 불어 제거하고 진공 시스템에 의한 제거를 가능하게 하기 위해 로드 록크 챔버(12)의 질소 세척을 개시시킨다. 또한, 적재 공정 중 진공 로드 록크 챔버(12) 내로 들어온 임의의 입자를 제거할 수 있게 한다.
그 후, 제어 시스템(206)은 단계(808)로 표시된 바와같이 이러한 질소 세척중 로드 록크 압력 제어 밸브(705)에 전기 신호를 제공하는 로드 록크 압력 제어기(704)에 압력 세팅 지점(set point) 신호를 제공한다.
로드 록크 입자 감지기(202) 및 입자 계수기(850)는 단계(806)에 도시된 바와같이 이 세척 처리 중에 검출되는 입자의 수에 대응하는 입력 신호를 컴퓨터 제어 시스템에 제공한다. 입자 계수기(850)가 단계(810)에 표시된 바와같이 소정 기간 동안 입자를 전혀 검출하지 못하면, 제어 시스템(206)은 세척 처리를 완료하는 로드 록크 압력 제어기(704)를 통해 단계(814)에 도시된 바와같이 로드 록크 질소 세척 밸브(703)를 차단시키고 단계(802)에 도시된 바와같이 로드 록크 압력 제어 밸브(705)를 완전히 개방시키기 위한 신호를 보낸다.
단계(816)에 표시된 바와같이, 그 후 제어 시스템(206)은 로드 록크 터보 펌프 분리 밸브(707)를 개방시키는 로드 록크 터보 펌프 제어기(706)에 신호를 보낸다.
터보 모레큘러 펌프는 로드 록크 내의 진공이 진공 웨이퍼 캐리어(10)의 진공 이상이 될 때까지 로드 록크를 계속 펌핑 압력 강하시킨다. 진공 로드 록크 진공은 단계(818)에 도시된 바와같이 로드 록크 진공 게이지(62)로부터 컴퓨터 제어 시스템으로의 입력으로서 제공된다.
진공이 충분히 낮추어진 후, 제어 시스템(206)은 단계(822)에 도시된 바와같이 진공 웨이퍼 캐리어 문 모터(707)에 진공 웨이퍼 캐리어 문(14)를 완전히 개방시키기 위한 신호를 제공한다. 통상, 문(14)은 최종 웨이퍼가 처리 공정을 완료하였을 때까지 개방 상태를 유지한다.
그 후, 웨이퍼(48)는 제어 시스템(208)에 의해 제어되는 이송 암(28)을 통해 소정 순서로 웨이퍼 캐리어(10)로부터 처리 챔버로 이동될 수 있다. 제어 시스템(206)이 이송 암(28)을 이동시키기 전에, 진공 웨이퍼 캐리어 문 감지기(708)는 진공 웨이퍼 캐리어 문(14)이 완전히 개방되었다는 것을 표시해야 한다. 제어 시스템(206)은 이송 암 제어기(709)로 신호를 보내 웨이퍼 이송 암(28)을 원위치로부터 처리를 위해 선정된 웨이퍼에 근접하나 접하지는 않는 위치로 이동시키며, 이는 제어 시스템(206)에 입력된다.
이송 암(28)이 웨이퍼 아래에 위치될 때, 웨이퍼 암 감지기(710)는 웨이퍼가 이 위치에 존재하는지의 여부를 나타내는 신호를 제어 시스템(206)으로 보낸다. 웨이퍼 이송 암 감지기(710)는 용량성 근접 검출기(capacitive proximity detector)이다. 웨이퍼가 존재하는 것으로 검출될 경우, 제어 시스템은 이송 공정을 계속하게 하는 신호를 이송 암 제어기(709)에 보낸다. 이송 암(28)은 수직으로 상향 이동하여 선반(60)으로부터 웨이퍼(48)를 들어올린다.
제1도, 제3도 및 제4도에 관련하여 상술한 바와같이 세 개의 핀(50) 상에 웨이퍼를 운반하는 이송 암(28)은 진공 웨이퍼 캐리어(10)로부터 수평 이동한다. 이송 암(28)이 진공 웨이퍼 캐리어(10)를 클리어한 후에, 이송 암 제어기(709)는 처리 챔버 내의 세 개의 테이퍼 핀(53) 상부에 위치되어 분리 출입구(31, 제3도)를 통과할 수 있는 적절한 수직 위치에 이송 암(28)을 위치시킨다.
필요시, 분리 출입구(31)를 통해 웨이퍼(48)를 이동시키기 전의 몇 면 경우에 그리고 일반적으로 최종 처리 공정의 종료 시에, 처리 챔버는 상술된 바와같이 로드 록크 챔버가 행한 것과 유사한 펌핑 압력 강하 및 세척 처리를 실행하였다. 제어 시스템(206)은 처리 챔버 초벌 펌프 분리 밸브(712)를 개방시키기 위한 신호를 보내는 신호를 처리 챔버 압력 제어기(711)에 보낸다. 그 후, 제어 시스템(206)은 질소 세척 밸브(713)를 개방시키기 위한 신호를 보낸 다음, 질소 세척 처리 중 처리 챔버내의 적절한 진공 상태를 유지하기 위해 처리 챔버 스로틀 밸브(715)를 제어하는 셋 포인트 신호를 처리 챔버 압력 제어기(714)에 보낸다. 이 세척 처리는 처리 입자 계수기(208)가 제어 시스템(206)에 의해 감시되는 소정 기간동안 입자를 전혀 검출하지 않을 때까지 계속된다.
이 상태가 달성될 때 제어 시스템은 처리 챔버 질소 세척 밸브(713)를 차단시키며, 처리 챔버 처리 제어기(714)는 처리 챔버 분리 밸브(715)를 차단시킨다. 제어 시스템(206)은 처리 챔버 터보 펌프 분리 밸브(717)를 개방시키는 신호를 처리 챔버 터보 펌프 제어기(716)에 제공한다. 처리 챔버 진공 감지기(718)는 제어 시스템(206)에 진공 정보를 제공한다.
진공 감지기(718)로부터의 입력 신호에 의해 처리 챔버 내에 표시된 진공 및 진공 감지기(62)에 의해 표시된 로드 록크 진공이 소정량 미만일 때, 제어 시스템은 개방 신호를 분리 출입구(31)에 제공한다.
이송 공정을 다시 참조하면, 웨이퍼(48)와 함께 이송 암(28)은 분리 출입구(31)를 통해 처리 챔버 내로 수평 이동된다. 그 후, 이송 암(28)은 하강되며, 웨이퍼(48)는 세 개의 테이퍼 핀(53) 및 처리 챔버 상에 놓인다. 이송 암(28)은 웨이퍼 이송 암 감지기(710)가 웨이퍼가 암으로부터 제거되었다는 것을 표시하도록 충분히 낮추어진다. 웨이퍼 이송 암 감지기(710)가 웨이퍼(48)이 더 이상 이송 암(28)상에 있지 않다는 것을 표시하면, 제어 시스템(206)은 이송 암(28)을 처리 챔버로부터 분리 출입구를 통해 원위치로 다시 이동시키는 신호를 이송 암 제어기(709)에 보낸다. 이 공정이 완료되면, 제어 시스템(206)은 벨로우즈 공기 실린더(도시하지 않음) 상향 이동되어 처리 공정 개시에 대비하여 처리 챔버를 폐쇄시키는 신호를 보낸다.
제어 시스템(206)은 어떤 형태의 진공 처리기가 사용되더라도 수행된 소정의 처리 작업을 제어하도록 프로그램될 수 있다. 제어 시스템(206)은 진공 처리기의 형태에 따라 몇 가지 방법들 중 하나의 방법에 의해 필요한 웨이퍼 온도를 설정할 수 있다. 진공 처리기가 저항 가열된 기판을 사용하는 경우, 제어 시스템(206)은 가열된 기판 온도 감지기(720)로부터 온도 정보를 제공받으며, 가열된 기판 전원(725)을 제어하는 제어 신호를 가열 기판 온도 제어기(724)에 제공한다. 다른 실시예에서, 제어 시스템은 램프 전원(722)으로부터 복사열 램프에 입력된 전력량 및 전력의 변화율을 제어하는 복사열 램프 전원 제어기(721)로의 입력을 제공한다. 다른 실시예에, 제어 시스템(206)은 기판으로의 냉각수의 유동을 제어하는 열 교환기 제어 밸브(723)로의 입력을 제공한다. 또한, 마이크로웨이브 플라즈마를 사용할 때, 제어 시스템은 마이크로웨이브 플라즈마 온도 감지기(726)로부터의 마이크로웨이브 프라즈마 온도 정보를 수신하며, 적절한 플라즈마 온도를 달성하도록 마이크로웨이브 플라즈마 전원(728)을 제어하는 제어 신호를 마이크로웨이브 플라즈마 전원 제어기(727)에 보낸다.
거의 모든 처리에서, 하나 이상의 처리 가스가 필요한 결과를 달성하기 위해 사용된다. 제어 시스템(206)은 어느 매니폴드 밸브(730)가 사용되고 따라서 어느 가스의 얼마나 많은 유동이 각 밸브를 통과하도록 허용되었는지를 제어할 수 있는 신호를 매니폴드 밸브 제어기(729)에 보낸다.
몇몇 실시예에서, 원위치 자외선 에너지가 웨이퍼 처리를 개선시키기 위해 제공된다. 제어 시스템(206)은 UV(본 명세서에 사용된 UV란 용어는 자외선을 칭함)챔버 임피던스를 정합시키기 위해서 UV 튜너(731)를 제어한다. 또한 제어 시스템은 UV 송신기 출력(733)을 조절하는 신호를 UV 전원 제어기(732)에 제공한다.
몇몇 실시예들에서, 처리기는 웨이퍼(48)의 표면에 대전된 입자들을 가속시키기 위해 저출력 무선 주파수 에너지를 사용한다. 제어 시스템(206)은 송신기 임피던스가 처리 챔버 내의 RF(본 명세서에 사용된 RF람 용어는 무선 주파수를 칭함) 전극의 임피던스와 정합될 수 있도록 무선 주파수 튜너(734)로의 입력을 제공한다.
RF 에너지가 플라즈마를 발생시키고 처리 챔버 내의 기판을 가열하기 위해 사용되면, 무선 주파수 온도감지기는 처리 챔버 내의 RF전극의 온도에 대응하는 신호를 제어 시스템(206)에 제공한다. 제어 시스템은 적절한 RF전극 온도를 달성하기 위해 송신기 출력 전력을 조절하는 신호를 RF 전원(737)에 제공하는 신호를 RF 전원 공급 제어기(736)를 통해 제공한다.
처리가 완료되면, 제어 시스템은 적절한 매니폴드 밸브(730)를 차단시키며, 상술된 적절한 전원을 차단시킨다.
필요시, 처리가 완료되면 제어 시스템(206)은 상술된 바와같은 처리 챔버 세척 싸이클을 개시한다. 이러한 세척 싸이클은 일정 기간 동안 또는 처리 챔버 입자 계수기(208)가 소정 시간 동안 0 입자를 표시할 때까지 계속될 수 있다.
그 후, 제어 시스템(206)은 질소 세척 밸브(713)을 차단시키며, 제어 시스템이 로드 록크와 처리 챔버 사이의 진공 차를 감시하면서 펌핑 압력 강하 처리가 계속된다. 제어 시스템(206)으로의 처리 챔버 진공 감지기 및 로드 록크 진공 감지기 입력 신호들이 두 개의 챔버들 사이의 진공 차가 소정 크기 미만이라는 것을 표시할 때, 제어 시스템은 벨로우즈를 하향 이동시킴으로써 처리 챔버를 개방시키기 위한 신호를 보낸다. 처리 챔버가 개방된 후, 제어 시스템(206)은 처리 챔버로부터의 웨이퍼(48)을 검색(retrieve)하여 이를 진공 웨이퍼 캐리어에 다시 위치시키기 위한 신호를 이송 암 제어기(709)에 보낸다.
이송 암 제어기(709)는 원위치로부터 분리 출입구를 통해 처리 챔버 내의 웨이퍼(48) 아래 지점으로 이송 암(28)을 수평 이동시킨다. 웨이퍼 이송 암 감지기(710)가 웨이퍼(48)로의 근접을 것을 감지한 경우, 제어 시스템에 소정의 신호를 제공한다. 이 신호를 수신한 후, 이송 암(28)은 수직 상향 이동되어, 테이퍼 핀(53)으로부터 웨이퍼(48)를 들어올린다. 그 후, 이송 암(28)은 분리 출입구(31)를 통해 진공 로드 록크 챔버(12)내로 이동된다. 그 후, 이송 암 제어기(709)는 웨이퍼가 최초에 취해진 슬롯의 수직 위치로 이송 암(28)을 상하 수직 이동 시킨다.
이송 암(28)이 적절한 수직 위치에 있을 때, 이송 암은 진공 웨이퍼 캐리어(10) 내로 수평 이동된다. 이 지점에서, 웨이퍼(48)는 진공 웨이퍼 캐리어(10) 내부에 웨이퍼를 지지하는 선반(60)의 바로 약간 위에 위치된다. 그 후, 이송 암 제어기(709)는 웨이퍼를 선반(60)상에 놓을 수 있는 지점으로 이송 암(28)을 수직 하향 이동시킨다. 이송 암(28)은 하향 이동을 계속한 후, 웨이퍼(48) 아래 소정 위치에 정지한다. 그 후, 제어 시스템은 웨이퍼가 이송 암(28)에 근접해 있는지를 알기 위해 웨이퍼 이송 암 감지기(710)를 샘플링한다. 웨이퍼가 이송 암(28)에 근접해 있지 않으면, 이송 암은 진공 웨이퍼 캐리어로부터 원위치로 수평 이동된다. 그 후, 이송 암은 진공 웨이퍼 캐리어 내의 다른 웨이퍼로 이동될 수 있으며, 웨이퍼 캐리어로부터 웨이퍼를 추출하고, 처리하여 재위치시키는 작업을 개시한다. 이러한 작업(evolution)은 캐리어의 위치에 관계없이 프로그램된 순서에 따라 시스템(206) 내의 어느 웨이퍼에 대해서도 반복될 수 있다.
다른 실시예에서, 고진공 상태와 함께 낮은 습도를 필요로 하는 처리의 경우에, 진공 처리는 저온 진공 펌프를 사용할 수 있다. 이들 저온 펌프는 상술된 바와같이 터보 모레큘러 펌프 경우에 사용된 것과 유사한 방식으로 사용된다. 관련된 제어기들이 로드 록크 제어기(737) 및 처리 챔버 저온 펌프 제어기(738)로 제31도에 도시되어 있다. 이 제어기들은 로드 록크 저온 펌프 분리 밸브(739) 및 처리 챔버 저온 펌프 분리 밸브(740)를 각각 제어한다. 저온 펌프는 챔버 내에 존재하는 가스로부터 습기를 제거하기 위해 사용된다. 이것은 HgCdTe와 관련된 처리를 위해 유용한다.
모든 웨이퍼들이 처리 동작을 완료되어 진공 웨이퍼 캐리어 내에 다시 위치되었으면, 제어 시스템은 문(14)을 폐쇄시키도록 진공 웨이퍼 문 모터(707)에 신호한다. 그 후, 제어 시스템(26)은 문(14)이 실제로 닫혔는지를 검증하기 위해 진공 웨이퍼 캐리어 문 감지기(708)를 체크한다. 그 후, 제어 시스템은 대응 로드 록크 제어기(701, 706 및 737)를 사용하여 로드 록크 초벌 펌프 분리 밸브(702), 로드 록크 터보 모레큘러 펌프 분리 밸브(717) 또는 로드 록크 저온 펌프 분리 밸브(739)를 차단시킨다. 또한, 제어 시스템은 적절한 처리 챔버 제어기(711,716 및 738)를 통해 처리 챔버 초벌 펌프 분리 밸브, 터보 모레큘러 펌프 분리 밸브 또는 저온 펌프 분리 밸브를 차단시킨다. 또한, 제어 시스템은 분리 출입구(31)도 차단시킨다. 그 후, 제어 시스템은 로드 록크 챔버(12) 및 처리 챔버를 대기압으로 복귀시키는 통기 밸브(714)를 개방시키기 위한 신호를 보낸다. 그 후, 제어 시스템은 로드 록크 뚜껑을 개방하여 진공 웨이퍼 캐리어(10)를 제거할 수 있다.
제32도에서 처리 모듈(1300)이 도시되어 있다. 이 처리 모듈은 원격 및 원위치 플라즈마를 갖는다. 웨이퍼 캐리어(10), 〔암(28)과 같은〕암 및 챔버(12)는 캐리어(10)로부터 처리 모듈(1300)로 웨이퍼(48)를 이송시키기 위해 사용되며, 처리 모듈(1300)은 상부 처리 챔버(1306)의 상부 내에 설치되는 가스 분배 링(1304)에 부착된 가스 분배기(1302)를 갖는 것으로 도시되어 있다. 가스 분배기(1302)는 링(1304)을 통해 원위치 플라즈마용 가스를 공급한다. 링(1304)은 챔버(1306)은 수직 축 주위에 배치된다. 가스는 링(1304)으로부터 링(1304)의 하부의 다수의 개구(1310)를 통해 배출된다. 챔버(1306)의 수직 벽은 석영으로 제조될 수 있으며, 챔버(1306)의 수직 축 주위에 실린더를 형성한다. 챔버(1306)의 하부는 전극(1312)이다. (제31도에 도시된 바와같은) 폐쇄 위치에서 챔버(1306)의 상부는 전극(1314)이다. 예를들어 25℃의 주위 온도를 유지하기 위해, 열 교환기(도시하지 않음)가 필요시 전극(1314)에 제공될 수 있다.
챔버(1306)는 벨로우즈(1316)에 의해 개폐된다. 벨로우즈(1316)는 챔버(1306)의 수직 벽을 상향 이동시켜, 전극(1314) 또는 모듈(1300)의 인접부에 접촉하게 한다. 시일(도시하지 않음)은 챔버(1306)의 수직 벽이 접하는 위치에 제공될 수 있다. 벨로우즈는 챔버를 폐쇄시키기 위해 챔버(1306)를 상향 이동시키며, 챔버를 개방시키도록 하향 이동시킨다. 개방 위치에서, 암은 캐리어로부터 챔버(12)를 통해 챔버(1306) 내로 핑거 또는 핀(1320)에 웨이퍼(48)를 이송시킬 수 있다. 이 핑거(1320)는 핑거(214, 제12도) 및 핀(53, 제3도)과 유사하다. 챔버(1306)가 폐쇄되면, 핑거(1320)는 상향 이동되어 웨이퍼(48)를 전극(1314)과 접촉시킨다.
원격 플라즈마는 파이프(1322)를 통해 수직 축을 따라 챔버(1306)의 하부로 공급된다. 파이프(1322)는 원격 플라즈마 발생기(1326)로부터 전극(1312)를 통해 챔버(1306) 내로 연장된다. 파이프(1322)는 전극(1312)을 포함하는 챔버(1306)의 수직 이동이 가능하도록 전극(1312)와 슬립 끼워맞춤(1328)된다. 전극(1312) 아래에 펌프(1332) 및 밸브(1334)에 연결되는 챔버(1330)가 위치된다. 따라서, 챔버(1306 및 1330)를 통한 하향 가스 유동이 제공된다. 원위치 플라즈마는 전극(1312와 1314) 사이에 적절한 전압을 인가하여 제공된다. 전압은 챔버(1306) 내의 가스에 필요한 여기를 제공하도록 RF이다. 펌프(1332) 및 밸브(1334)는 챔버(1306) 내의 소정 진공을 제공한다. 면(54)에 작용시 발생기(1326)로부터의 원격 플라즈마 및 챔버(1306) 내에서 발생된 원위치 플라즈마는 결합된다. 또한, 분배기(1302)는 전극(1312)과의 슬립 끼워맞춤된다. 분배기(1302)는 챔버(1306)의 수직 벽을 따라 연장된다. 처리 모듈(1300)은 여러 처리를 수행하기에 적합하다.
원격 플라즈마 및 원위치 플라즈마를 모두 갖는 처리 모듈에 성공적으로 사용된 하나의 처리는 실리콘 도핑된 알루미늄(예를들어 1% 실리콘으로 도핑된 알루미늄)의 에칭이다. 각각의 에칭 속도의 합의 2배 이상의 에칭 속도의 상승 효과(synergistic etch rate enhancement)가 이하의 조건〔즉, 1 Torr 전체 압력, 13.56MHz의 주파수의(웨이퍼 면 근처에 플라즈마를 발생시키도록 인가된) 225W의 RF 전력 및 2450MHz의 주파수의 400W 마이크로웨이브 전력에서 80sccm의 BCl3,20sccm의 Cl2및 1000sccm의 He의 가스 유동〕하에서 결합된 마이크로웨이브 및 RF 에칭의 경우에 얻어졌다. 사용된 온도는 약 25。C의 주위 온도였다. 이 결과들은 유동이 사용된 특정 조건에 대해 최적화되지 않았기 때문에 매우 높지 않은 에칭 속도에 기초를 두고 있더라도 얻어졌지만, 이 2가지 효과를 결합시키는 상승 효과를 나타낸다. 가스 혼합물은 파이프(1322)로부터 챔버(1306) 내로 모두 공급될 수 있으며, 또는 상술하지 않은 다른 가스를 포함하는 가스 혼합물의 일부가 링(1304)을 통해 공급될 수 있다. 또한, 수소 공급원(예를들어 메탄)은 링(1304)을 통해 공급될 수 있으며 또는 메탄은 원격 발생 플라즈마의 일부일 수 있다.
처리 모듈(1300)에 유요한 다른 처리는 폴리실리콘 증착이다. 별개로 사용된 원위치 플라즈마 및 원격 플라즈마의 속도의 합보다 향상된 증착 속도를 얻기 위해, 불활성 가스 및 실리콘 공급원(예를들어 SiH4및/ 또는 Si2H6)의 가스 혼합물이 원위치 플라즈마 및 원격 플라즈마 와 함께 사용된다. 일례로서, RF 전력은 처리 챔버 내에서 13.56MHz에서 100W이며, 원격 플라즈마 발생기는 2450MHz에서 400W에서 작동한다. 가스는 1000sccm의 헬륨 및 50sccm의 SiH4이다. 아르곤은 사용될 수 있는 불활성 가스의 다른 예이다. 압력은 1Torr이며, 온도는 25℃이다. SiH4는 링(1304)을 통해 처리 챔버 내로 공급되며, 나머지 가스는 발생기(1326)를 통과한다. 이 결과들은 사용된 특정 조건에 대해 최적화되지 않았더라도 얻어질 수 있지만, 이 두 효과를 결합하는 상승 효과를 나타낸다. 표면 손상은 압력을 1Torr 이상으로 증가시킴으로써 감소될 수 있다. 이러한 처리는 원격 플라즈마와 원위치 플라즈마간의 상승 효과로 인해 향상된 결과를 발생시킨다. 표면 손상은 최소화되며, 증착 속도는 향상된다. 원격 플라즈마 및 원위치 플라즈마는 개별 제어될 수 있다. 이러한 처리는 실리콘, GaAs 및 HgCdTe 기판에 사용될 수 있다.
처리 모듈(1300)에 유용한 다른 처리는 산화 실리콘 증착 방법이다. 별개로 사용된 원위치 플라즈마 및 원격 플라즈마의 속도의 합보다 향상된 적층 속도를 내기 위해 헬륨, O2및 SiH4의 가스 혼합물이 원격 플라즈마 및 원위치 플라즈마와 함께 사용된다. 일례로서, RF 전력은 처리 챔버 내에서 13.56MHz의 100W이며, 원격 플라즈마 발생기는 2450MHz의 400W에서 작동한다. 가스들은 100sccm의 헬륨, 100sccm의 O2및 50sccm의 SiH4이다. 압력은 1Torr, 온도는 25℃일 수 있다. SiH4은 링(1304)을 통해 처리 챔버 내로 공급되며, 나머지 가스는 발생기(1326)를 통과한다. 표면 손상은 압력을 1Torr 이상으로 증가시킴으로써 감소될 수 있다. 온도는 25℃ 내지 400℃로 될 수 있다. 이 처리는 원격 플라즈마와 원위치 플라즈마 사이의 상승 효과로 인해 향상된 결과를 발생시킨다. 이 결과들은 사용된 특정 조건에 대해 최적화되지 않았더라도 얻어졌지만, 이 두 효과를 결합시키는 상승 효과를 나타낸다. 표면 손상은 최소화되며, 증착 속도는 향상된다. 원격 플라즈마 및 원위치 플라즈마는 개별 제어될 수 있다. 이 처리는 실리콘, GaAs 및 HgCdTe 기판에 사용될 수 있다.
처리 모듈(1300)에 유용한 다른 처리는 산화 실리콘 증착 방법이다. 별개로 사용된 원위치 플라즈마 및 원격 플라즈마의 속도의 합보다 향상된 증착 속도를 내기 위해, 헬륨, N2및 NH3그룹 중 하나 및 SiH4또는 SiH2Cl2그룹 중 하나의 가스 혼합물이 원격 플라즈마 및 원위치 플라즈마에 사용된다. 일례로서, RF 전력은 처리 챔버 내에서 13.56MHz의 100W이며, 원격 플라즈마 발생기는 2450MHz의 400W에서 작동한다. 사용된 가스는 1000의 sccm 헬륨, 100sccm의 N2및 NH3그룹 중 하나, 50sccm의 SiH4및 SiH2Cl2그룹 중 하나였다. 압력은 1Torr, 온도는 25℃일 수 있다. SiH4또는 SiH2Cl2는 링(1304)을 통해 처리 챔버 내로 공급되며, 나머지 가스는 발생기(1326)를 통과한다. 표면 손상은 압력을 1Torr 이상으로 증가시킴으로써 감소될 수 있다. 온도는 25℃ 내지 400℃로 될 수 있다. 이 처리는 원격 플라즈마와 원위치 플라즈마 사이의 상승 효과로 인해 향상된 결과를 발생시킨다. 이 결과들은 사용된 특정 조건에 대해 최적화되지 않았더라도 얻어졌지만, 이 두 효과를 결합시키는 상승 장점을 나타낸다. 표면 손상은 최소화되고, 증착 속도는 향상된다. 원격 플라즈마와 원위치 플라즈마는 개별 제어될 수 있다. 이 처리는 실리콘, GaAs 및 HgCdTe 기판에 사용될 수 있다.
처리 모듈(1300)에 유용한 다른 처리는 GaAs 에칭 방법이다. 별개로 사용된 원격 플라즈마 및 원위치 플라즈마의 속도의 합보다 향상된 에칭 속도를 내기 위해, 헬륨, CH4및 CF4또는 F2그룹 중 하나의 가스 혼합물이 원격 플라즈마 및 원위치 플라즈마에 사용된다. 일례로서, RF 전력은 처리 챔버 내에서 13.56MHz의 100W이며, 원격 플라즈마 발생기는 2450MHz의 400W에서 작동한다. 사용된 가스는 1000sccm의 헬륨, 250sccm의 CH4및 100sccm의 또는 F2였다. 압력은 1Torr, 온도는 25℃일 수 있다. CH4는 링(1304)을 통해 처리 챔버 내로 공급되며, 나머지 가스는 발생기(1326)를 통과한다. 이 처리는 원격 플라즈마 및 원위치 플라즈마 사이의 상승 효과로 인해 향상된 결과를 발생시킨다. 이 결과들은 사용된 특정 조건에 대해 최적화되지 않았더라도 얻어졌지만, 이 두 효과를 결합시키는 상승 효과를 나타낸다. 표면 손상은 최소화되며, 에칭 속도는 향상된다. 원격 플라즈마 및 원위치 플라즈마는 개별 제어될 수 있다. 이 결과로서 생기는 에칭은 부분적으로 비등방성이다. 비등방성 레벨은 압력뿐만 아니라 상대 RF 플라즈마 및 마이크로웨이브 전력 레벨에 의해 제어될 수 있다.
처리 모듈(1300)에 유용한 다른 처리는 웨이퍼의 적어도 일부를 형성하는 ZnS 또는 HgCdTe의 에칭이다. 원격 플라즈마를 발생시키기 위해, 헬륨과 같은 불활성 캐리어와 혼합된 원자 불소 공급원의 가스 혼합물이 사용된다. 원위치 플라즈마는 적어도 원격 플라즈마 및 알킬-함유 화합물이 생성물로부터 발생된다. 원격 플라즈마 및 원위치 플라즈마를 발생시키기 위해 사용된 전력은 향상된 에칭 속도를 내도록 개별 제어된다. 원격 플라즈마 및 원위치 플라즈마는 별개로 사용된 원위치 플라즈마 및 원격 프라즈마의 속도의 합보다 큰 에칭 속도를 발생시킨다. 비교적 높은 에칭 속도를 갖는 부분적 비등방성 에칭을 제공하도록 원격 플라즈마와 함께 원위치 플라즈마를 발생시키기 위해 비교적 낮은 전력 RF가 사용된다. 원격 플라즈마 및 원위치 플라즈마가 개별 제어될 수 있기 때문에, 향상된 프로파일 제어 및 에칭 선택성이 얻어질 수 있다. 원위치 디스컴은 에칭, 및 산소 공급원으로 형성된 원격 플라즈마를 사용하는 포스트-에칭 애슁 전에 수행될 수 있다. 알킬-베어링 처리는, 예를들어 메탄, 에탄, 플루오르화메틸, 염화메틸, 요드화메틸 또는 브롬화메틸일 수 있다. 원자 불소 공급원은 예를들어 불소 CF4,SF6, NF3, C2F6, 또는 플라즈마 존재시에 불소 원자를 떨어뜨리는 다른 가스성 불소 화합물일 수 있다. 사용된 전력은, 예를들어 RF 경우 250W 이하이며, MW 경우에 400W일 수 있다. 유동율은 CF4는 100sccm, CH4는 125sccm 및 헬륨은 100sccm일 수 있다. 압력은 예를들어 0.8Torr일 수 있다. 표면 손상은 최소화되며, 에칭 속도는 향상된다. 원격 플라즈마 및 원위치 플라즈마는 개별 제어될 수 있다. 이 결과로서 생기는 에칭은 부분적으로 비등방성이다. 비등방성 레벨은 압력뿐만 아니라 상대 RF 플라즈마 및 마이크로웨이브 전력 레벨에 의해 제어될 수 있다.
처리 모듈(1300)에 유용한 다른 처리는 포토레지스트 애슁이다. 별개로 사용된 원위치 플라즈마 및 원격 플라즈마의 속도의 합보다 향상된 애슁 속도를 내기 위해, 산소 및 애슁 개선 가스(예를들어 CF4, CHF3,H2,H2O,HCl, HBr, Cl2및 N2O 그룹 중 하나 이상)의 가스 혼합물이 원격 플라즈마 및 원위치 플라즈마에 사용된다. 일례로서, RF 전력은 처리 챔버 내에서 13.56MHz의 225W이며, 원격 플라즈마 발생기는 2450MHz의 400W에서 작동된다.사용된 가스들은 43sccm의 CF4및 996sccm의 산소였다. 압력은 0.63Torr, 온도는 25。C일 수 있다. 모든 가스들은 원격 플라즈마 발생기(1326)를 통과할 수 있다. 이 처리는 원격 플라즈마 및 원위치 플라즈마 사이의 상승 효과로 인해 향상된 결과를 발생시킨다. 이 결과들은 사용된 특정 조건에 대해 최적화되지 않았더라도 얻어졌지만, 이 두 효과를 결합시키는 상승 효과를 나타낸다. 표면 손상은 최소화되며, 애슁 속도는 향상된다. 원격 플라즈마 및 원위치 플라즈마는 개별 제어될 수 있다. 결과로서 생기는 애슁은 비등방성이다. 비등방성 레벨은 압력뿐만 아니라 상대 RF 플라즈마 및 마이크로웨이브 전력 레벨에 의해 제어될 수 있다.
처리 모듈(1300)에 유용한 다른 처리는 질화 실리콘 에칭이다. 별개로 사용된 원위치 플라즈마 및 원격 플라즈마의 속도의 합보다 향상된 에칭 속도를 내기 위해, 불소 공급원 및 헬륨이 원격 플라즈마 및 원위치 플라즈마에 사용되었다. 일례로서, RF 전력은 처리 챔버 내에서 13.56MHz의 225W이며, 원격 플라즈마 발생기는 2450MHz의 400W에서 작동된다. 사용된 가스는 200sccm의 불소 가스 공급원(예를들어 CF4) 및 1000sccm의 헬륨이었다. 다른 불소 공급원으로는 F2, CHF3, C2F6, SF6또는 F3단독으로 또는 CF4와 함께 사용될 수 있다. 압력은 0.7Torr, 온도는 25℃일 수 있다. 이 처리는 원격 플라즈마 및 원위치 플라즈마 사이의 상승 효과로 인해 향상된 결과를 발생시킨다. 이 결과들은 사용된 특정 조건에 대해 최적화되지 않았더라도 얻어졌지만, 이 두 효과를 결합시키는 상승 효과를 나타낸다. 표면 손상은 최소화되며, 에칭 속도는 향상된다. 원격 플라즈마 및 원위치 플라즈마는 개별 제어될 수 있다. 이 결과로서 생기는 에칭은 부분적으로 비등방성이다. 비등방성 레벨은 압력뿐만 아니라 상대 RF 플라즈마 및 마이크로웨이브 전력 레벨에 의해 제어될 수 있다.
처리 모듈(1300)에 유용한 다른 처리는 폴리실리콘의 에칭이다. 원격 플라즈마 및 원위치 플라즈마 단독의 합의 두 배의 향상된 에칭 속도를 제공하기 위해 불소 공급원 및 헬륨이 원격 플라즈마 및 원위치 플라즈마에 사용되었다. 일례로서, RF 전력은 처리 챔버 내에서 13.56MHz의 225W이며, 원격 플라즈마 발생기는 2450MHz의 400W에서 작동한다. 사용된 가스는 200sccm의 불소 가스 공급원(예를들어 CF4) 및 1000sccm의 헬륨이었다. 다른 불소 공급원으로는 F2, CHF3, C2F6, SF6, 또는 NF3단독으로 또는 CF4와 함께 사용될 수 있다. 압력은 0.7Torr, 온도는 25℃일 수 있다. 이 처리는 원격 플라즈마 및 원위치 플라즈마간의 상승 효과로 인해 향상된 결과를 발생시킨다. 이 결과들은 사용된 특정 조건에 대해 최적화되지 않았더라도 얻어졌지만, 이 두 효과를 결합시키는 상승 효과를 나타낸다. 표면 손상은 최소화되며, 에칭 속도는 향상된다. 원격 플라즈마 및 원위치 플라즈마는 개별 제어될 수 있다. 이 결과로서 생기는 폴리실리콘의 에칭은 부분적으로 비등방성이다. 비등방성 레벨은 압력뿐만 아니라 상대 RF 플라즈마 및 마이크로웨이브 전력 레벨에 의해 제어될 수 있다.
원격 플라즈마 및 원위치 플라즈마를 사용하는 다른 처리는 구리 도핑된 알루미늄 막의 에칭이다. 이 처리는, 예를들어 모듈(1300) 또는 제24도의 모듈(680)에서 수행된다. 예를들어, Cl2, CCl4또는 SiCl4일 수 있는 염소 공급원, CH4일 수 있는 탄화수소 공급원 및 BCl3가 사용된다. 탄화수소는 생략될 수 있으나, 라인 폭 손실이 발생한다. 일례로서, 처리 챔버 내의 전극들간에 인가된 RF 전력은 13.5MHz의 약 250W일 수 있다. 원격 플라즈마 발생기는 2450MHz의 주파수의 400W로 가동될 수 있다. 처리 챔버, 예를들어 챔버(1306, 제31도)의 압력은 0.15Torr일 수 있다. 처리 챔버 내의 온도는 주위 온도(예를들어 약 25℃)로 될 수 있다. 사용된 가스는 80sccm의 BCl3, 10sccm의 Cl2(염소) 및 5sccm의 탄화수소 공급원(예를들어 CH4(메탄))일 수 있다. 이 결과들은 유동이 사용된 특정한 조건에 대해 최적화되지 않았기 때문에, 매우 높지 않은 에칭 속도에 기초하더라도 얻어졌지만, 이 두 효과를 결합시키는 상승 효과를 나타낸다. 가스 분배기(1302) 및 파이프(1322)로부터의 가스는 필요시 동일하거나 다를 수 있다. 이 처리에 의해 에칭된 표면의 잔류물(예를들어 염화 구리를)이 감소될 수 있다. 에칭은 원격 플라즈마 및 원위치 플라즈마를 사용함으로써 개선된다. 이에 의해 더 낮은 RF 전력이 사용될 수 있어, 표면 손상이 감소되며, 포토레지스트의 완벽성이 유지된다. 압력은 약 1Torr 내외로 되어야 한다.
다른 유용한 처리는 이산화 실리콘에 대한 선택성 및 소정 비등방성을 달성하기 위해 텅스텐 재질(층)의 과에칭이다. 예를들어 CF4, C2F6, HF, F2, NF3또는 SF6일 수 있는 불소 공급원과, CH4및 HBr일 수 있는 탄화수소 공급원이 사용된다. 탄화수소 및 HBr은 생략될 수 있으나, 이들이 존재하는 경우 에칭이 개선된다. 탄화수소는 라인 폭 손실을 감소시키는 에칭중 측벽 표면 안정화를 수행한다. 일예로서, 첫째, 예를들어 본 명세서에 기술된 텅스텐 에칭 처리들중 하나의 처리를 사용하여 텅스텐층의 대부분이 에칭된다. 이 단계 후에, 일예로서 다른 조건하에서 원격 플라즈마 및 원위치 플라즈마를 사용하여 에칭이 계속된다. RF 전력은 처리 챔버 내에서 적절한 주파수의 50W이며, 원격 플라즈마 발생기는 400W에서 작동된다. 가스는 40sccm의 불소 가스 공급원(예를들어 SF6), 13sccm의 브롬 공급원(예를들어 HBr), 및 5sccm의 탄화수소 공급원(예를들어 CH4(메탄))일 수 있다. 압력은 0.13Torr, 온도는 25。C일 수 있다. 이 처리는 이산화실리콘 및 포트레지스트에 대한 증가된 선택성을 제공하는 원격 플라즈마와 원위치 플라즈마 사이의 상승 효과로 인해 향상된 결과를 발생시킨다. 또한, 에칭은 플라즈마 발생중에 마이크로웨이브(MW) 및 무선 주파수(RF)의 개별 조절을 가능하게 함으로써 향상된다. 압력은 약 0.1Torr 내지 약 5Torr이어야 한다.
브롬 공급원과 탄화수소의 결합은 불소-계 에칭에 대해 매우 강한 표면안정화 화학 조성을 제공한다. 예를들어, 성공적으로 입증된 일 실시예는 다음과 같다. 개시 구조물은 텅스텐 박막을 포함하였다. 초기 가스 유동은 250mTorr의 전체 압력 및 500W의 인가된 RF 전력 레벨에서 50sccm의 SF6, 5sccm CH4및 15sccm의 HBr을 포함하였다. 보다 상세히 후술되는 바와같이 패턴이 클리어되기 시작한 후 추가의 20sccm의 WF6의 유동이 시작되었다. 이 결과로 생긴 구조물은 거의 수직 에칭된 측벽, 단지 약간의 라인 폭 부식 및 레지스트에 대한 우수한 선택성을 나타냈다.
CH4의 분율 및 브롬 공급원의 분율을 증가시킴으로써, 더욱 강한 표면 안정화 작용이 달성될 수 있다. 다음 조건, 즉, 470mTorr의 전체 압력 및 40W의 인가된 RF 전력 레벨에서, 40sccm의 SF6, 15sccm의 CF4, 및 25sccm의 HBr이 0라인 폭 부식을 발생시키는 것으로 알려졌다. 비교적 높은 전체 압력의 사용은 균일성을 유지하는데 도움을 준다.
표면 안정화 증착 속도가 더욱 증가되면, 네가티브 에칭 바이어스가 달성될 수 있다. 샘플 실시예에서, 텅스텐 박막은 다음의 초기 가스 유동, 즉, 470mTorr의 전체 압력 및 400W의 인가된 RF 전력 레벨에서 50sccm의 SF6, 18sccm의 CF4및 25sccm의 HBr을 사용하여 에칭되었다. 사용된 레지스트 패턴은 2.7미크론의 최소 피치(1.7미크론의 최소 라인 폭 및 1미크론의 최소 공간 폭)를 가졌다. 이 화학 조성의 사용은 0.6미크론 내지 0.7미크론의 최종 에칭된 공간 폭을 발생시키는 것으로 알려졌다. 따라서, 이러한 화학 조성은 약 0.15미크론 내지 0.2미크론의 네가티브 에칭 바이어스를 제공하였다. 상한치로서, 실험 결과, 다른 조건을 변화시키지 않고 메탄 유동을 21sccm으로 증가시키면 에칭이 전체적으로 일시 중단된다는 것, 즉, 텅스텐 에칭 속도가 0으로 된다는 것이 입증되었다.
또한, 이러한 종류의 표면 안정화 화학 조성들이 고도의 비등방성 실리콘 에칭을 제공한다는 것이 발견되었다. 실험에 의해 성공적으로 입증된 한 특정 샘플 실시예는 다음과 같은, 즉, 250mTorr의 전체 압력 및 500W의 인가된 RF 전력 레벨에서 50sccm의 SF6, 5sccm의 CH4및 15sccm의 HBr을 포함한 초기 가스 유동인 에칭 화학 조성을 사용하였다.
이 조건들은 25초 내에 실리콘 내로 3미크론 깊이로 에칭되었고, 레지스트에 대한 우수한 선택성을 유지하면서 거의 수직인 실리콘 측벽을 발생시켰다. 그러나, 이 에칭 조건들은 산화물에 대해 특정한 선택성은 없다. 따라서, 이러한 에칭 화학 조성은 트렌치(trench) 에칭용으로 매우 유용하다. 장치 구조물 내의 트렌치의 장점은 오랫동안 인정되어 왔지만, 통상 이들은 속도가 느리고 트렌치의 하부 상에 역행 바우잉, 그루빙, 또는 꺼칠꺼칠함과 같은 매우 바람직하지 못한 에칭 가공물을 발생시키기 쉬운 저압 에칭 조건에 의해 제조되어 왔다. 또한, 저압 처리의 이들 난점을 제거한다는 장점이 있다.
다른 불소-에칭용 화학 조성 군은 SF6와 같은 불소 공급원과 HBr과 같은 브롬 공급원과, 매우 약한 산소 공급원(예를들어 일산화탄소)을 포함하는 공급 가스 혼합물을 사용한다. 이러한 화학 조성은 포토레지스트에 대한 양호한 선택성을 갖는 비등방성 고속 불소-에칭을 제공한다.
기술된 처리의 샘플 실시예는 다음과 같이 성공적으로 입증되었다. 개시 구조물은 현상된 유기 포토레지스트의 패턴화된 층으로 덮인 텅스텐 박막을 포함하였다. 초기 가스 유동은 300mTorr의 전체 압력 및 174W의 인가된 RF 전력 레벨에서 25sccm의 SF6, 25scm의 HBr 및 40sccm의 CO를 포함하였다. 과에칭 기간동안 추가의 20sccm의 WF6의 유동이 유용하게 추가된다. 최종 구조물은 급경사 측벽, 적당한 라인 폭부식 및 포토레지스트에 대한 약 2 : 1의 선택성을 나타냈다.
이러한 화학 조성은 일산화 탄소를 다른 약한 산소 공급원으로 대체함으로써 변형될 수 있었다. 즉, N2O 또는 CO2와 같은 약한 산소 공급원이 대신에 사용될 수 있었다. 실제로, CO 대신에(1sccm미만의) 매우 작은 O2의 유동을 사용함으로써 약간의 이점이 있었으나, 이러한 매우 작은 유동은 종래의 반도체 제조 장비로 재생 가능하게 제어되기 어렵다.
다른 불소-에칭용 화학 조성 군은(SF6와 같은) 불소 공급원과, 플루오르실란(예를들어, SiF4)과, (HBr과 같은) 브롬 공급원과, 일산화 탄소와 같은 약한 산소 공급원을 포함하는 공급 가스 혼합물을 사용한다. 이 화학 조성은 포토레지스트에 대한 양호한 선택성을 갖는 비등방성 고속 불소-에칭을 제공한다.
이러한 처리의 샘플 실시예는 다음과 같이 성공적으로 입증되었다. 개시 구조물은 패턴화되고 현상된 유기 포토레지스트 재질층에 의해 덮인 텅스텐 박막을 포함하였다. 초기 가스 유동은 350mTorr의 전체 압력 및 175W의 인가된 RF 전력 레벨에서 25sccm의 SiF4, 25sccm의 SF6, 25sccm HBr 및 30sccm의 CO를 포함하였다. 과에칭 기간동안, 레지스트 부식을 방지하기 위해 추가의 30sccm WF6의 유동이 상술된 다른 유동에 추가된다. 최종 구조물은 거의 수직 에칭된 측벽, 약간의 라인 폭 부식 및 포토레지스트에 대한 약 3 : 1의 선택성을 나타냈다.
처리 모듈(1300)에 사용하기에 적합한 다른 처리는 저압 질화 실리콘 에칭이다. 이 에칭은 100sccm으로 유동한 SF6및 5000sccm으로 유동하는 He의 원격 플라즈마 가스 혼합물을 사용한다. 기판은 25℃의 온도를 갖는다. RF 플라즈마는 발생되지 않았다. 질화 실리콘의 에칭 속도는 37Å/분이었다. 이산화 실리콘은 에칭되지 않은 것으로 관찰되었다. F2, CF4또는 C2F6과 같은 추가의 불소 공급원이 사용될 수 있다. 이 추가의 공급원은 산화 실리콘에 대한 에칭의 선택성을 감소시킬 수 있다. 에칭 속도는 추가의 RF 원위치 플라즈마의 사용에 의해 증가될 수 있다. 또한, 이 처리는 GaAs 및 HgCdTe 처리용으로 유용하다.
다른 처리에서, 상술된 텅스텐 에칭들 중 하나의 에칭이 대부분의 텅스텐 막을 에칭한 후, 이 처리는 원격 플라즈마 및 원위치 플라즈마 모두를 사용함으로써 이산화 실리콘 및 포토레지스트에 대해 비등방성이고 선택성인 에칭을 제공하도록 사용된다. 사용된 가스 혼합물은 40sccm의 SF6, 13sccm HBr, 5sccm의 탄화수소 공급원(예를들어 CH4(메탄))으로 조성되었다. 사용된 압력 및 온도는 각각 0.13Torr 및 25℃(주위 온도)였다. 원위치 플라즈마와 원격 플라즈마를 발생시키기 위해 사용된 RF 전력 및 W 전력은 각각 40W 및 400W였다. 원위치 플라즈마 및 원격 플라즈마는 선택성 및 비등방성을 포함하는 향상된 에칭 특성을 발생시키는 상승 효과를 발생시킨다. 이는 원격 플라즈마 및 원위치 플라즈마 발생의 개별 제어를 포함한다.
제33도에서, 웨이퍼 캐리어(10)는 문(14)이 개방된 상태로 도시되어 있다. 캐리어(10)와 플랫폼(1500)사이에 웨이퍼(48)를 이송시키는 이송 암(28)이 도시되어 있다. 암(28)은 제1도, 제3도 및 제4도에 관련하여 상술된 바와같이 작용한다. 암(28)은 챔버(12)와 유사한 로드 록크 챔버(1502) 내에 배치된다. 플랫폼(1500)은 하부 면을 따라 수직 위치로부터 제33도에 도시된 수평 위치로 회전하도록 힌지(hinge)되어 있다. 플랫폼은 챔버(1502)와의 시일을 형성한다. 이에 의해 진공이 챔버(1502) 내의 펌프(1504)에 의해 형성될 수 있다. 또, 문 또는 분리 출입구(도시되지 않음)는 암을 플랫폼(1500)으로 연장시키기 위해 챔버(1502)를 통해 밀봉가능한 개구를 제공하도록 포함될 수 있다. 진공에서 웨이퍼를 포함하는 캐리어(10)는 챔버(1502) 내에 배치된다. 챔버(1502)는 펌프(1504)에 의해 필요한 진공 상태로 펌핑 압력 강하된다. 계수기(850)와 유사한 입자 계수기는 챔버(1502) 내의 입자들을 감시하기 위해 사용될 수 있다.
문(14)은 제11도 및 제31도를 포함하는 여러 도면을 참조하여 본 명세서에 기술된 바와같이 소정 입자 상태가 얻어질 때까지 개방되지 않는다. 필요시 세척이 수행될 수 있다. 소정 진공 상태가 설정되면 문(14)은 개방된다. 이 때, 챔버(1502)는 클린 가스(예를들어 N2(질소))를 공급함으로써 주변 압력으로 배기된다. 플랫폼, 문 또는 분리 출입구는 개방된다. 암(28)은 웨이퍼(48) 아래에 캐리어(10)내에 도달할 수 있다. 암은 웨이퍼를 들어올리도록 약간 상승된다. 이는 제33도의 가장 좌측 위치이다. 암은 챔버(1502) 내의 개구(1510)를 통해 외부로 이동된다. 웨이퍼(48)의 외주(49)는 세 개의 핀(50)(제33도에는 두 개만이 도시됨)에 접촉된다. 웨이퍼(48)는 면 내에 및/또는 면 상에 장치 또는 직접회로가 구성된 면(54)을 갖는다. 제33도의 가장 우측에는, 플랫폼(1500)위에 위치된 암(28)이 도시되어 있다. 플랫폼(1500)은 제1도, 제3도 및 제4도의 핀(53)과 유사한 세 개의 핀(1512)(제33도에는 단지 두 개만 도시됨)을 갖는다. 암은 핀(1512) 상에 웨이퍼(48)를 위치시키기 위해 약간 하강된다.
그 후, 웨이퍼(48)는 다른 이송 기수(1520)에 의해 픽업될 수 있다. 이송 기구(1520)는 암 (28)과 유사한 다른 이송 암 또는 적절한 기구일 수 있다. 모든 웨이퍼들은 한번에 하나의 웨이퍼씩 플랫폼(1500)으로 이송될 수 있었다. 또는, 한 웨이퍼는 기구(1520)에 의해 이송된 후 비-진공 처리 시스템(도시하지 않음)내에서 처리되고, 플랫폼(1500)으로 복귀된 다음, 캐리어(10)로 복귀될 수 있었다. 그 후, 다음 웨이퍼는 캐리어(10)로부터 플랫폼(1500)으로 이송될 수 있었다. 캐리어(10)를 폐쇄시키고자 할 경우, 플랫폼, 문 또는 분리 출입구를 폐쇄시켜야 한다. 진공이 챔버(1502)에 인가되며, 챔버는 가스(예를들어 N2)를 사용하여 다시 세척된다. 입자 계수기는 컴퓨터 제어 시스템(206)에 의해 감시될 수 있으며, 문(14)은 소정 조건이 만족된 후 폐쇄된다. 웨이퍼는 본 명세서에서 기술된 바와같이 면을 하향하여 암(28)에 의해 이송될 수 있다. 컴퓨터 제어 시스템(206, 제10도 및 제31도)은 암(28) 및 챔버(1502)를 제어한다.
제34도에 도시된 일반적인 구성은 제33도에 도시된 것과 유사하다. 그러나, 웨이퍼(예를들어 웨이퍼(48))는 플랫폼 상에 위치되지 않고 암(28)에 의해 비-진공 캐리어(1540) 내로 위치된다. 하나 이상의 웨이퍼(또는, 모든 웨이퍼)가 캐리어(1540) 내에 배치될 수 있다. 캐리어(1540)는 예를들어 챔버(1502)로부터 연장된 지지부 상에 배치된다. 로봇 암일 수 있는 이송 기구(1540)는 핸드(1544) 및 예를들어 캐리어(1540)를 잡아서 사진 석판인쇄를 위한 비-진공 처리 장비(도시되지 않음)로 이동시키도록 된 클로(claw, 1546)를 갖는다. 또한, 캐리어(1540)는 다른 수단(예를들어 수동)으로 이동되고 재위치될 수 있다. 펌핑 압력 강하 공정 및 일반적인 작업은 제33도와 관련하여 상술되어 있다.
일반적으로, 제35도 및 제36도의 이송 기구는 제33도 및 제34도에 도시된 것과 각각 유사하다. 웨이퍼 캐리어(10)는 문(14)이 개방된 상태롤 도시되어 있다. 암(28)으로부터 웨이퍼(48)를 수납하는 플랫폼(1600)이 도시되어 있다. 암(28)은 제1도, 제3도 제4도에 관련하여 상술된 바와같이 작용한다. 암(28)은 (제1도, 제3도 및 제4도에 도시된) 챔버(12)와 유사한 로드 록크 챔버(2602)내에 설치된다. 플랫폼(1600)은 제33도에 도시된 플랫폼(1500)과 유사하며, 하부 면을 따라 수직 위치로부터 제35도에 도시된 수평 위치로 회전한다. 플랫폼은 챔버(1602)를 밀봉한다. 따라서 진공이 챔버(1602) 내의 펌프(1604)에 의해 형성될 수 있다. 또는, 암을 플랫폼(1600)으로 연장되도록 챔버(1602)를 통한 밀봉가능한 개구를 제공하도록 문 또는 분리 출입구(도시되지 않음)가 포함될 수 있다. 진공 내에 웨이퍼를 담는 캐리어(10)는 챔버(1602)내로 위치된다. 챔버(1602)는 펌프(1604)에 의해 소정 진공 상태로 펌핑 압력 강하된다. 계수기(850)와 유사한 입자 계수기는 챔버(1602) 내에 입자를 감시하도록 위해 사용될 수 있다.
제11도 및 제31도를 포함하는 여러 도면을 참조하여 본 명세서에 기술된 바와같이 문(14)은 소정 입자 상태가 얻어질 때까지 개방되지 않는다. 소정 진공이 설정될 때, 문(14)이 개방된다. 챔버(12) 및 처리 모듈에 관련하여 상술된 바와같이 챔버(1602)는 클린 가스(예를들어 N2(질소))를 공급함으로써 세척될 수 있다. 플랫폼, 문 또는 분리 출입구가 개방된다. 암(28)은 웨이퍼(48) 아래에서 캐리어(10) 내에 도달할 수 있다. 암은 웨이퍼를 약간 들어올리도록 상승된다. 이는 제35도의 가장 좌측 위치이다. 암은 챔버(1602) 내의 개구(1610)를 통해 외부로 이동된다. 웨이퍼는 세 개의 핀(50)(제35도에는 단지 두 개만이 도시됨)상에 놓여진다. 제35도의 가장 우측 위치에서, 플랫폼(1600) 위로 배치된 암(28)이 도시되어 있다. 플랫폼(1600)은 제1도, 제3도 및 제4도의 핀(53)과 유사한 세 개의 핀(1612)(제35도에는 단지 두 개만이 도시됨)을 갖는다. 암은 핀(1612) 상에 웨이퍼(48)을 위치시키기 위해 약간 하강된다.
그 후, 웨이퍼(48)는 진공 봉입부(1621)내에 설치된 다른 이송 기구(1620)에 의해 픽업될 수 있다. 봉입부(1621)는 기본적으로 동일한 형태, 이송 방법 및 폐쇄 기구를 갖는 본 명세서에 도시된 표준 모듈과 상이하다. 이송 기구(1620)는 암(28)과 유사한 다른 이송 암 또는 적절한 기구로 될 수 있다. 모든 웨이퍼들은 한번에 하나의 웨이퍼씩 플랫폼(1600)으로 이송될 수 있다. 또는, 한 웨이퍼는 기구(1620)에 의해 이송된 후, 진공 상태하에서 [챔버(1621)만 도시됨] 비-표준 처리 모듈 내에서 처리되어 플랫폼(1600)으로 복귀된 후, 캐리어(10)로 복귀될 수 있었다. 그 후, 다음 웨이퍼는 캐리어(10)로부터 플랫폼(1600)으로 이송될 수 있다. 캐리어(10)를 폐쇄시키고자 할 때에는, 플랫폼, 문 또는 분리 출입구를 폐쇄시켜야 한다. 챔버(1602)는 진공으로 되며, 가스, (예를들어 N2)를 사용하여 다시 세척된다. 입자 계수기는 컴퓨터 제어 시스템(206)에 의해 감시될 수 있으며, 문(14)은 소정 조건이 만족된 후 폐쇄된다. 웨이퍼들은 본 명세서에 기술된 바와같이 암(28)에 의해 면을 밑으로 하여 이송될 수 있다. 컴퓨터 제어 시스템(206, 제10도 및 제31도)은 암(28) 및 챔버(1602)를 제어한다.
제36도에 도시된 일반적인 구성은 제35도에 도시된 것과 유사하다. 그러나, 웨이퍼(예를들어 웨이퍼(48))는 플랫폼 상에 배치되지 않고 암(28)에 의해 밀봉 불가능한 캐리어(1640) 내에 배치된다. 하나 이상의 웨이퍼(또는, 모든 웨이퍼)가 캐리어(1640)내에 위치될 수 있다. 이송 기구(1642)는 핸드(1644), 및 캐리어(1640)를 잡아서 본 명세서에 도시된 바와같은 표준 모듈 형태가 아닌 처리 장비로 이동시키도록 된 클로(1646)를 갖는다. 캐리어(1640)는 다른 수단(예를들어 수동)으로 이동되어 재위치될 수 있다. 펌핑 압력 강하 공정 및 일반적인 작업은 제35도와 관련하여 상술되어 있다.
처리 모듈(2000)은 제37도에 도시되어 있다. 처리 모듈(2000)의 다수의 부품들은 상술된 다른 모듈의 부품과 유사하다. 캐리어(10) 및 챔버(12)는 제1도, 제3도 및 제4도에 관련하여 상술된 바와같이 작동한다. 웨이퍼(48)는 가장 좌측 위치에서 캐리어(10) 내에 있으며, 중간 위치에서 챔버(12)내로 이송되고 있는 것으로 도시되어 있다. 제11도에 관련하여 상술된 입자 제어 방식은 모듈(2000) 및 본 명세서에 기술된 다른 모듈에 사용될 수 있다. 가장 우측 위치의 웨이퍼(48)는 처리 챔버(2002)내에 배치된다. 원격 플라즈마 발생기(2010)는 파이프(2012)를 통해 공급된 가스 혼합물로부터 마이크로웨이브 에너지를 사용하여 원격 플라즈마를 발생시킨다. 공급기(250)는 발생기(2010)로부터 챔버(2002)로 원격 플라즈마를 제공한다. 파이프(2020 및 2022)는 진공 연결부를 통해 자외선 공간(2024) 및 챔버(2002)에 각각 연결된다. 파이프(2020 및 2022)는 가스 분배 링(2026 및 2028)에 각각 접속된다. 공간(2024)은 챔버(2002) 하부에 위치된다 석영 배플(2030)은 챔버(2002)로부터 공간(2024)을 분리시킨다. 공급기(250)는 석영 배플(2030)과 슬립-끼워맞춤된다. 석영 배플(2030)의 단면은 기본 H-형상을 가지며, 공급기(250)는 크로스바의 중앙을 통과한다. 링(2026)은 공간(2024)에 설치되며, 링(2028)은 챔버(2002)은 챔버(2002) 내에 설치된다.
모듈(2000)은 펌프(2040) 및 밸브(2042)갖는다. 석영 배플은 챔버(2002)의 측면과 하부의 일부를 형성한다. 제37도에는 상부 또는 폐쇄 위치 내에 있는 석영 배플이 도시되어 있다. 벨로우즈(2032)에 의해 석영 배플(2030)이 수직 이동될 수 있다. 가열 모듈(2050)은 챔버(2002)위에 배치된다. 투명 판(2052)은 가열 모듈(2050) 및 챔버(2002)를 분리시킨다. 가열 모듈(2050)로부터의 열은 투명 판(2052)을 통해 웨이퍼(48)에 복사 결합된다. 투명 판(2052)은 제37도에 도시된 바와같이 폐쇄 위치의 챔버(2002)의 상부에 형성된다. 면(54)이 밑으로 향해 있는 웨이퍼(48)는 투명 판(2052) 바로 아래에 배치된다.
가열 모듈(2050)에는 가열 요소인 두 개의 링(2060 및 2062)이 제공된다. 링(2060)은 링(2062) 외부에 설치된다. 각 링은 다수의 열 램프(예를들어 링(2060)에는 12개의 열 램프)로 구성된다. 이 링들은 개별 제어가 가능하다. 반사기(2070)는 링(2060 및 2062)으로부터의 열을 투명 판(2052)을 통해 보이도록 되어 있다. 제38도, 제39도 및 제40도에 관련하여 가열 모듈(2050)에 대해 상세하게 기술하기로 한다. 제38도, 제39도 및 제40도는 제37도에 도시된 기본 처리 모듈(2000)에 대한 변형예를 도시한다. 따라서, 제38도, 제39도 및 제40도의 설명은 주로 도면들 간의 차이에 주안점을 두고 있다.
제38도는 가열 모듈(2050) 및 링(2060)으로부터의 두 개의 램프(2100 및 2102)와 링(2062)으로부터의 두 개의 램프(2104 및 2106)를 갖는 처리 모듈(2000)을 도시한다. 또한, 반사기(2070)도 제38도에 도시되어 있다. 링(2060) 및 링(2062)용 램프에 접속된 전원은 컴퓨터 제어 시스템(206)에 의해 개별 제어된다. 이에 의해 필요시 보다 다양한 가열 상태가 달성될 수 있다. 가열기 공간(2110)은 링(2060 및 2062)과 반사기(2070)의 일부가 투명 판(2052) 사이에 위치된다. 가열기 공간은 투명 판(2052)위에 그리고 투명 판(2052)을 지나 측방향으로 연장된다. 공급기(250) 및 링(2026 및 2028)은 제37도와 관련하여 상술된 바와같이 배치된다. 석영 배플(2030)은 단면 상태로 도시되어 있다. 수직 축(2120)은 모듈(2000)의 중심부를 통해 연장되는 것으로 도시되어 있다. 공급기(250), 배플(2030), 링(2026 및 2028), 투명 판(2052), 반사기(2070) 및 링(2100 및 2102)은 축(2120)에 대해 동심이다. 배플(2030) 및 링(2026 및 2028)은 벨로우즈(2032)에 의해 축(2120)을 따라 수직으로 이동되나. 석영 실린더(2210)는 상부 지지부(2212)에 대해 고정되어 챔버(2002)가 폐쇄 위치에 도시되어 있다. 본 명세서에 기술된 바와같이, 시일(2214)이 챔버(2002)와 모듈(2000) 내부의 나머지 부분들 사이를 필요에 따라 분리시킬 수 있도록 제공될 수 있다. 챔버(2002)로부터의 하향 유동이 펌프(2040, 제37도)에 의해 제공된다.
두 개의 전극(2230 및 2232)은 공간(2024)에 수직 벽을 제공한다. 전극(2230 및 2232)은 축(2120)과 동심인 실린더이다. 전극(2230)은 전극(2232) 주위에 배치된다. 전극(2230 및 2232)은 자외선 발생 능력을 갖는 다른 처리 모듈에 관련하여 상술된 바와같이 링(2026)으로부터 공간(2024) 내로 공급된 가스를 여기시키는데 필요한 전력을 제공한다. 전극(2230 및 2232)로의 전기 접속은 도체(2240 및 2242)를 통해 이루어진다. 공급된 전력은 상술된 바와같이 컴퓨터 제어 시스템(206)에 의해 제어되는 전원으로부터 공급된다. 감지기 어레이(2244)는 배플(2030)의 외부 벽을 따라 상향 연장되고 배플 상부를 거쳐 챔버(2002)내로 수평 연장된다. 다수의 온도 감지기(2246)(제38도, 제39도 및 제40도에는 세 개만이 도시되어 있으나, 이들의 수는 변할 수 있음)는 어레이(2244)의 수평부 상에 배치된다. 감지기(2246)는 배치된 영역에서의 온도를 측정하기 위해 웨이퍼(48)의 면(54) 바로 아래에 설치된다. 투명 판(2052) 내의 개구(2250)는 투명 판(2052)의 외주로부터 중심으로 수평 연장된 후, 투명 판(2052)의 하부 면으로 하양 연장된다. 개구(2250)에 대해서는 보다 상세하게 기술하기로 한다. 핑거(2260, 제38도에는 세 개의 핑거들 중 하나의 핑거만이 도시됨)는 투명 판(2052)에 대해 웨이퍼(48)를 지지하고, 핑거(53)와 유사하다. 어레이(2244)는 본 명세서에 기술된 다른 가열 모듈, 예를들어 제18도 및 제19도에 도시된 가열 모듈에 사용될 수 있다.
반사기(2070)는 원추형 면(2274)이 편평한 팁(2272)으로부터 이격되어 상향 연장된 편평한 팁(2272)을 갖는 원추 절두체 부분을 갖는다. 편평한 핍(2272)의 중심은 축(2120)과 일치한다. 이하, 반사기(2070)의 표면에 대해 기술하기로 한다. 이들은 제38도에 단면으로 도시되어 있다. 다른 원추형 표면(2276)은 표면(2274)보다 큰 각도로 축(2120)으로부터 이격되어 상향으로 연장된다. 표면(2276)으로부터 수평 면(2278)이 원추형 표면(2280)에 대한 축(2120)에 수직으로 연장된다. 표면(2280)은 표면(2278)으로부터 이격되어 축(2120)으로부터 수평면(2282)으로 하향 및 외향 연장된다. 수평면(2282)은 축(2120)에 외향 수직한 표면(2280)으로부터 다른 원추형 표면(2284)으로 연장된다. 표면(2282)으로부터, 표면(2284)은 축(2120)으로부터 하향 및 외향 연장된다. 표면(2284)의 외향한 대부분의 부분은 축(2102)을 따라 팁(2272)과 거의 동일한 거리를 두고 위치된다. 표면(2274 및 2276)은 축(2120)을 따라 표면(2282)이 설치될 때와 거의 동일한 거리를 두고 설치된다. 팁(2272) 및 표면(2274,2276,2278,2280,2282 및 2284)은 반사기(2070)의 상부를 형성한다. 팁(2272)은 투명 판(2052)과 이격되어 있다.
반사기(2070)의 하부는 축(2120)에 대해 동심인 원통형 표면(2290)을 갖는다. 표면(2290)의 하단부는 웨이퍼(48) 하부로 연장되며, 웨이퍼(48)를 지나 하향 연장되는 투명 판(2052)의 링형 풋(foot, 2292)에 의해 챔버(2002)로부터 분리된다. 풋(2292)은 웨이퍼(48)의 외주 외부로 연장되어 그로부터 이격되어 있다. 즉, 풋(2292)은 제38도에 도시된 바와같이 축(2120)을 따라 웨이퍼(48)보다 큰 거리만큼 하향으로 투명 판(2052)의 본체로부터 연장된다. 표면(2290)으로부터, 반사기(2070)는 축(2120)으로부터 이격되어 상향 연장되는 원추형 표면(2300)을 갖는다. 수평면(2302)은 표면(2300)과의 연결부로부터 축(2120)에 수직하여 외향 연장된다. 반사기의 상부 및 하부는 연결되지 않지만 연속 표면을 각각 형성한다. 표면(2284)은 축(2120)을따라 표면(2274) 및 램프(2100 및 2102)의 열 요소와 동일한 거리만큼 이격되어 배치된다. 표면(2284)은 표면(2300)과 거의 평행한 램프(2100 및 2102)로부터 웨이퍼 상에 열을 반사시키기 위해 소정의 각도로 될 수 있으며, 보다 높은 비율로 집속된 열이 외주에 인접한 영역으로 향한다. 램프(2104 및 2106)의 열 요소는 축(2120)을 따라 표면(2276 및 2280)과 거의 동일한 거리만큼 이격되어 위치된다. 표면(2276 및 2280)은 램프(2104 및 2106)로부터 웨이퍼(48)상에 열을 반사시키며, 보다 높은 비율로 집속된 램프들로부터의 열이 웨이퍼의 중심 영역으로 향한다. 표면(2300)은 축(2120)을 향해 표면(2276)상에 열을 상향 반사시키도록 소정의 각도로 될 수 있다. 또한, 표면(2302)은 하향 반사를 위해 열을 상향으로 보낸다. 표면(2290)은 축(2120)을 향해 엣지 상에 부수적인 열을 수평으로 보낸다. 일반적으로 반사기(2070)의 표면 및 팁은 웨이퍼(48) 상에 최대량의 열을 제공하도록 공간(2110)을 통해 열을 재차 보낸다. 본 명세서에 도시된 특정한 반사기(2070)는 가열 모듈(2050)용 반사기를 실행하는 유일한 방법이다, 웨이퍼(48)가 투명 판(2052)과 대향해 있기 때문에, 웨이퍼(48)의 상향 면은 증착중에 보호된다.
모듈(2000)은 제38도에 도시된 것과 동일한 가열 모듈(2050) 및 반사기(2070)와 함께 제39도 및 제40도에 도시되어 있으며, 이에 대해서는 더 이상 설명하지 않기로 한다. 링(2026 및 2028), 배플(2030), 투명 판(2052), 공급기(250) 및 모듈(2000) 하부의 전체 구성은 거의 동일하며, 변형부에 대해서만 기술하기로 한다.
제39도에서, 전극(2310)은 웨이퍼와 투명 판(2052) 사이에 배치된다. 투명 판(2052)은 전도성이며, 예를들어 흑연 또는 실리콘으로 제조될 수 있다. 도체(2312)는 엣지 근처의 전극(2310)에 부착된다. 챔버(2002)가 제39도내에 도시된 바와같이 폐쇄될 때 핑거(2260)는 웨이퍼(48)를 전극(2310)과 접촉된다. 핑거(2260)는 투명 판(2052)에 대향하여 놓여지도록 핑거의 상단부에 있는 노치 내에 웨이퍼를 위치시키는 노치(2330)를 갖는데, 웨이퍼는 전극(2310)에 대해[또는, 제38도에 도시된 바와같이, 투명 판(2054)에 대해] 지지된다. 그러나, 제1도, 제3도 및 제4도의 핀(53)이 사용될 수도 있다. 모듈(2050)로부터의 열은 축(2120)을 향해 열을 향하게 하는 표면(2290)에 의해 웨이퍼(48)의 외주를 제외하고 전극(2310) 상에 보내진다. 또한, 감지기(2246)는 예를들어 반경의 약 절반만큼 외주에 인접하고 중심에 인접한 여러 지점에서의 웨이퍼의 온도를 제공하는 동일한 기능을 제공한다. 이러한 구조에 의해 배열은 원위치 플라즈마의 사용이 가능하다. RF 전력이 전극(2310) 및 원통형 지지부(2311)에 인가된다. 이에 의해 상술된 바와같은 RF 개선된 플라즈마가 상술된 처리 및 상술된 챔버 클린업용을 위해 사용될 수 있다.
제40도에서, 핑거(2260)는 핑거(2260)의 팁을 투명 판(2310)에 대해 위치시키는 노치(2330)보다 더 깊은 노치(2332)를 가지며, 웨이퍼(48)는 공간(2234)에 의해 투명 판(2310)으로부터 이격되어 위치된다. 투명 판(2052)내의 개구(2250)는 웨이퍼 상향 면 상의 증착을 방지하는 세척 가스(예를들어 헬륨과 같은 불활성 가스 및 H2)를 가지며, 웨이퍼(48)의 상향 면에 제공한다. 감지기(2246)는 제38도 및 제39도에서와 동일한 기능을 수행한다. 반사기(2070)의 표면(2290)은 웨이퍼(48)의 외주 상에 열을 보내기 위해 더욱 밑으로 연장된다. 제37도, 제38도, 제39도 및 제40도에 도시된 모듈(2000)의 여러 가지 변형예는 웨이퍼 외주에서의 가열이 향상된 기본 모듈 개념의 적용성을 보인다.
달리 특정되어 상술되지 않은 경우, RF 및 W 플라즈마와 자외선용으로 사용된 전력 및 주파수는 다른 처리 변수들과 같이 광범위하게 변화될 수 있다. 본 명세서에 사용된 저압이란 용어는 대기 압력 미만인 압력을 나타낸다.
본 명세서에 기술된 모든 처리 모듈들에는 제1도, 제3도, 제4도, 제5a도 및 제5b도에 도시된 바와같이 하나 이상의 챔버(12) 및 암(28)이 사용될 수 있다. 실리콘, GaAs, 및 HgCdTe 예들이 본 명세서에 기술되어 있지만, 웨이퍼들은 게르마늄 등과 같은 그 밖의 다른 재질로도 제조될 수도 있다. 웨이퍼들은 예를들어 단일 결정 재질 또는 큰 기판 상에 배치된 작은 결정들과 같이 여러 가지로 달리 구성될 수 있다. 본 명세서에 기술된 바와같이 발생된 플라즈마는 자유 래디칼을 포함하게 된다. 웨이퍼(48)와 같은 웨이퍼가 본 명세서에 기술되어 있지만, 다른 종류의 편평한 작업편이 본 명세서에 기술된 기술로 사용될 수 있다.
웨이퍼(48)를 처리한 결과는 예를들어 집적 회로 또는 개별 반도체 장치와 간은 전자 장치일 수 있다. 처리가 완료되면, 웨이퍼는 장치로 분리된다. 회로 및 장치는 본 명세서에 참고 문헌으로 사용된 오컷트(Orcutt) 등에게 1984년 8월 14일자로 특허된 미합중국 특허 제4,465,898호 및 버클러(Birchler) 등에게 1969년 4월 15일자로 특허된 미합중국 특허 제3,439,238호에 기술되어 있는 바와같이 팩키지로 봉입된다. 이 팩키지들은 인쇄 회로 기판의 구성 시에 사용된다. 목표한 기능을 수행하기 위해 팩키지된 집적회로 및 장치 없이 작동될 수 없는 인쇄 회로 기판은 전자 정보 시대의 필수품인 컴퓨터, 복사기, 프린터, 전기 통신 장비, 계산기 및 그 밖의 다른 모든 전자 장비에 필요한 전기 부품이다. 전자 장비는 회로 및 장치 없이는 작용할 수 없다.
본 출원은 다른 장점들을 제공하도록 작용하는 여러 가지 추가의 특징을 가가 포함하는 처리 시스템과 여러 가지 종류의 처리 스테이션과 처리 방법에 대해 기술하였다.
본 발명의 장점은 프리에칭, 에칭 및 포스트 에칭 처리를 위한 처리 모듈을 제공하는데 있다.
본 발명의 장점은 직접 반응 및/또는 열 처리를 위한 처리 모듈을 제공하는데 있다.
본 발명의 장점은 임의의 조합으로 원위치 RF, 원격 MW 플라즈마 및 복사헤드를 사용함으로써 보다 높은 에칭 속도 및 보다 높은 선택성을 갖는 처리 모듈을 제공하는데 있다.
본 발명의 장점은 웨이퍼를 이동시키지 않고 여러 가지 다른 처리를 연속적으로 수행할 수 있는 처리 모듈을 제공하는데 있다.
특정 실시예를 참조하여 본 발명이 설명되었지만, 본 기술 분야에서 숙련된 자들은 다른 변형이 가능하며 본 발명은 첨부된 특허 청구의 범위 내에 있는 이러한 모든 변형을 커버한다.

Claims (26)

  1. 처리 챔버와, 상기 처리 챔버로부터 이격되고 그와 유체 연통되어 상기 처리 챔버에 모든 처리 가스를 공급하는 원격 플라즈마 발생기와, 상기 처리 챔버 내의 적어도 하나의 제1에너지원을 포함하는 것을 특징으로 하는 진공 처리 모듈장치.
  2. 제1항에 있어서, 상기 플라즈마 발생기 및 상기 제1에너지원은 단독으로 작동하도록 개별 제어되는 것을 특징으로 하는 장치.
  3. 제1항에 있어서, 상기 플라즈마 발생기 및 상기 제1에너지원은 임의의 조합으로 작동하도록 개별 제어 되는 것을 특징으로 하는 장치.
  4. 상기 제1에너지원은 원위치 플라즈마 발생기인 것을 특징으로 하는 장치.
  5. 상기 제1에너지원은 가열기인 것을 특징으로 하는 장치.
  6. 상기 제1에너지원은 자외선 광원인 것을 특징으로 하는 장치.
  7. 처리 챔버 내에 작업편을 배치시키는 단계와, 상기 처리 챔버로부터 이격된 챔버에 모든 처리 가스의 활성 화학 성분을 발생시키는 단계와, 처리될 상기 작업편의 면에 상기 활성 화학 성분을 공급하는 단계와, 상기 챔버로부터 상기 작업편을 제거하는 단계와, 적어도 하나의 에너지원을 사용하여 클린업 단계를 수행하는 단계를 포함하는 것을 특징으로 하는 작업편 처리 방법.
  8. 제7항에 있어서, 상기 처리될 작업편의 면을 하향하여 상기 작업편을 배치하는 단계를 또한 포함하는 것을 특징으로 하는 방법.
  9. 작업편을 수납할 수 있는 진공 처리 모듈장치에 있어서, 상기 작업편과 이격되고 그와 유체 연통되어 적어도 자유 래디칼을 발생시켜 상기 모듈장치에 모든 처리 가스를 공급하는 원격 플라즈마 발생기와, 상기 작업편에 결합된 가열기를 포함하는 것을 특징으로 하는 장치.
  10. 작업편을 수납할 수 있는 진공 처리 모듈장치에 있어서, 상기 작업편에 결합되어 상기 모듈장치에 모든 처리 가스를 공급하는 원위치 플라즈마 발생기와, 상기 작업편에 결합된 가열기를 포함하는 것을 특징으로 하는 장치.
  11. 제10항에 있어서, 상기 원위치 플라즈마는 상기 작업편 아래에서 발생되며 상기 원위치 플라즈마 발생기는 상기 작업편 위에 그리고 아래에 전극을 갖는 것을 특징으로 하는 장치.
  12. 제10항에 있어서, 상기 작업편은 하향한 처리될 면을 갖는 것을 특징으로 하는 장치.
  13. 제10항에 있어성, 상기 원격 플라즈마 발생기 및 상기 가열기는 단독으로 작동하도록 개별 제어되는 것을 특징으로 하는 장치.
  14. 제10항에 있어서, 상기 원격 플라즈마 발생기 및 상기 가열기는 임의의 조합으로 작동하도록 개별 제어되는 것을 특징으로 하는 장치.
  15. 제10항에 있어서, 상기 가열기는 처리 중 상기 작업편 위에 위치되는 것을 특징으로 하는 장치.
  16. 제10항에 있어서, 상기 원격 플라즈마 발생기로부터의 플라즈마는 상기 작업편 아래로부터 표면으로 공급되는 것을 특징으로 하는 장치.
  17. 제10항에 있어서, 상기 작업편은 웨이퍼인 것을 특징으로 하는 장치.
  18. 작업편을 수납할 수 있는 진공 처리 모듈장치에 있어서, 상기 작업편과 유체 연통되어 상기 모듈 장치에 모든 처리 가스를 공급하는 원격 플라즈마 발생기와, 상기 작업편에 결합된 원위치 플라즈마 발생기와, 상기 작업편에 결합된 가열기를 포함하는 것을 특징으로 하는 장치.
  19. 제18항에 있어서, 상기 원위치 플라즈마는 상기 작업편 아래에서 발생되며 상기 원위치 플라즈마 발생기는 상기 작업편 위에 그리고 아래에 전극을 갖는 것을 특징으로 하는 장치.
  20. 제18항에 있어서, 상기 가열기는 복사 가열기인 것을 특징으로 하는 장치.
  21. 제18항 또는 제19항에 있어서, 상기 작업편은 하향한 처리될 면을 갖는 것을 특징으로 하는 장치.
  22. 제18항 또는 제19항에 있어서, 상기 원격 플라즈마 발생기 및 상기 가열기는 단독으로 작동하도록 개별 제어되는 것을 특징으로 하는 장치.
  23. 제18항 또는 제19항에 있어서, 상기 원격 플라즈마 발생기 및 상기 가열기는 임의의 조합으로 작동하도록 개별 제어되는 것을 특징으로 하는 장치.
  24. 제18항 또는 제19항에 있어서, 상기 가열기는 처리 중 상기 작업편 위에 위치되는 것을 특징으로 하는 장치.
  25. 제18항에 있어서, 상기 원격 플라즈마 발생기로부터의 상기 플라즈마는 상기 작업편 아래로부터 표면으로 공급되는 것을 특징으로 하는 장치.
  26. 제18항 또는 제19항에 있어서, 상기 작업편은 웨이퍼인 것을 특징으로 하는 장치.
KR1019880008773A 1987-07-16 1988-07-14 집적회로 및 다른 전자장치를 제조하기 위한 장치 및 방법 KR970000205B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/074,422 US4820377A (en) 1987-07-16 1987-07-16 Method for cleanup processing chamber and vacuum process module
US074,422 1987-07-16

Publications (2)

Publication Number Publication Date
KR890002983A KR890002983A (ko) 1989-04-12
KR970000205B1 true KR970000205B1 (ko) 1997-01-06

Family

ID=22119481

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019880008773A KR970000205B1 (ko) 1987-07-16 1988-07-14 집적회로 및 다른 전자장치를 제조하기 위한 장치 및 방법

Country Status (5)

Country Link
US (1) US4820377A (ko)
EP (1) EP0299247B1 (ko)
JP (1) JP2840071B2 (ko)
KR (1) KR970000205B1 (ko)
DE (1) DE3873847T2 (ko)

Families Citing this family (216)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5225036A (en) * 1988-03-28 1993-07-06 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
JPH03130368A (ja) * 1989-09-22 1991-06-04 Applied Materials Inc 半導体ウェーハプロセス装置の洗浄方法
JP2848498B2 (ja) * 1989-11-25 1999-01-20 日本特殊陶業株式会社 ダイヤモンドの合成方法、ダイヤモンド被覆切削工具の製造方法、及びダイヤモンド被覆切削工具の製造方法
US6016383A (en) * 1990-01-19 2000-01-18 Applied Materials, Inc. Rapid thermal heating apparatus and method including an infrared camera to measure substrate temperature
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5294320A (en) * 1990-02-09 1994-03-15 Applied Materials, Inc. Apparatus for cleaning a shield in a physical vapor deposition chamber
US5275976A (en) * 1990-12-27 1994-01-04 Texas Instruments Incorporated Process chamber purge module for semiconductor processing equipment
US5417826A (en) * 1992-06-15 1995-05-23 Micron Technology, Inc. Removal of carbon-based polymer residues with ozone, useful in the cleaning of plasma reactors
US5421957A (en) * 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5928964A (en) * 1995-12-21 1999-07-27 Texas Instruments Incorporated System and method for anisotropic etching of silicon nitride
US6072160A (en) * 1996-06-03 2000-06-06 Applied Materials, Inc. Method and apparatus for enhancing the efficiency of radiant energy sources used in rapid thermal processing of substrates by energy reflection
US6015761A (en) * 1996-06-26 2000-01-18 Applied Materials, Inc. Microwave-activated etching of dielectric layers
US6714832B1 (en) * 1996-09-11 2004-03-30 Hitachi, Ltd. Operating method of vacuum processing system and vacuum processing system
US6749717B1 (en) 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
US5960158A (en) * 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US6168672B1 (en) 1998-03-06 2001-01-02 Applied Materials Inc. Method and apparatus for automatically performing cleaning processes in a semiconductor wafer processing system
US6254717B1 (en) * 1998-04-23 2001-07-03 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US5970214A (en) * 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US5930456A (en) * 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US6170492B1 (en) * 1998-06-15 2001-01-09 Applied Materials, Inc. Cleaning process end point determination using throttle valve position
US6021791A (en) 1998-06-29 2000-02-08 Speedfam-Ipec Corporation Method and apparatus for immersion cleaning of semiconductor devices
US6277235B1 (en) * 1998-08-11 2001-08-21 Novellus Systems, Inc. In situ plasma clean gas injection
US6467490B1 (en) * 1998-08-31 2002-10-22 Texas Instruments Incorporated Process for using a high nitrogen concentration plasma for fluorine removal from a reactor
US6210484B1 (en) 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
US6310328B1 (en) 1998-12-10 2001-10-30 Mattson Technologies, Inc. Rapid thermal processing chamber for processing multiple wafers
US6771895B2 (en) 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
JP3433392B2 (ja) * 1999-01-12 2003-08-04 セントラル硝子株式会社 クリーニングガス及び真空処理装置のクリーニング方法
US6596123B1 (en) * 2000-01-28 2003-07-22 Applied Materials, Inc. Method and apparatus for cleaning a semiconductor wafer processing system
US6564810B1 (en) 2000-03-28 2003-05-20 Asm America Cleaning of semiconductor processing chambers
US6569257B1 (en) * 2000-11-09 2003-05-27 Applied Materials Inc. Method for cleaning a process chamber
US6852242B2 (en) 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
US6810886B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Chamber cleaning via rapid thermal process during a cleaning period
US20030221708A1 (en) * 2002-06-04 2003-12-04 Chun-Hao Ly Method of cleaning a semiconductor process chamber
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US7097716B2 (en) * 2002-10-17 2006-08-29 Applied Materials, Inc. Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect
US6923189B2 (en) * 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US6998349B2 (en) 2003-02-06 2006-02-14 Lam Research Corporation System, method and apparatus for automatic control of an RF generator for maximum efficiency
US7033845B2 (en) * 2003-02-06 2006-04-25 Lam Research Corporation Phase control of megasonic RF generator for optimum operation
US6995067B2 (en) * 2003-02-06 2006-02-07 Lam Research Corporation Megasonic cleaning efficiency using auto-tuning of an RF generator at constant maximum efficiency
US7053000B2 (en) * 2003-02-06 2006-05-30 Lam Research Corporation System, method and apparatus for constant voltage control of RF generator for optimum operation
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20050252529A1 (en) * 2004-05-12 2005-11-17 Ridgeway Robert G Low temperature CVD chamber cleaning using dilute NF3
EP1778909B1 (en) * 2004-08-18 2010-04-14 LG Electronics Inc. Controlling methof for automatically drying
US20060175014A1 (en) * 2005-02-10 2006-08-10 Michael Cox Specimen surface treatment system
US20060175013A1 (en) * 2005-02-10 2006-08-10 Michael Cox Specimen surface treatment system
KR20080060241A (ko) * 2005-10-17 2008-07-01 오씨 외를리콘 발처스 악티엔게젤샤프트 원거리 플라즈마 소스를 이용한 대면적 pecvd 장치용클리닝 방법
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US8327861B2 (en) * 2006-12-19 2012-12-11 Lam Research Corporation Megasonic precision cleaning of semiconductor process equipment components and parts
KR100838380B1 (ko) * 2007-03-26 2008-06-13 주식회사 하이닉스반도체 반도체 소자의 트렌치 형성 방법
US20080289650A1 (en) * 2007-05-24 2008-11-27 Asm America, Inc. Low-temperature cleaning of native oxide
US7989319B2 (en) * 2007-08-07 2011-08-02 Semiconductor Components Industries, Llc Semiconductor die singulation method
US8859396B2 (en) 2007-08-07 2014-10-14 Semiconductor Components Industries, Llc Semiconductor die singulation method
US8012857B2 (en) * 2007-08-07 2011-09-06 Semiconductor Components Industries, Llc Semiconductor die singulation method
US7781310B2 (en) * 2007-08-07 2010-08-24 Semiconductor Components Industries, Llc Semiconductor die singulation method
DE102007054073A1 (de) * 2007-11-13 2009-05-14 Carl Zeiss Nts Gmbh System und Verfahren zum Bearbeiten eines Objekts
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US7871937B2 (en) 2008-05-16 2011-01-18 Asm America, Inc. Process and apparatus for treating wafers
US9299664B2 (en) 2010-01-18 2016-03-29 Semiconductor Components Industries, Llc Method of forming an EM protected semiconductor die
US20110175209A1 (en) * 2010-01-18 2011-07-21 Seddon Michael J Method of forming an em protected semiconductor die
US8384231B2 (en) * 2010-01-18 2013-02-26 Semiconductor Components Industries, Llc Method of forming a semiconductor die
US9165833B2 (en) 2010-01-18 2015-10-20 Semiconductor Components Industries, Llc Method of forming a semiconductor die
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9484260B2 (en) 2012-11-07 2016-11-01 Semiconductor Components Industries, Llc Heated carrier substrate semiconductor die singulation method
US9136173B2 (en) 2012-11-07 2015-09-15 Semiconductor Components Industries, Llc Singulation method for semiconductor die having a layer of material along one major surface
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
KR102167594B1 (ko) * 2013-12-04 2020-10-19 삼성전자주식회사 기판 처리 방법 및 이를 수행하기 위한 장치
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9418894B2 (en) 2014-03-21 2016-08-16 Semiconductor Components Industries, Llc Electronic die singulation method
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
JP2015211156A (ja) * 2014-04-28 2015-11-24 東京エレクトロン株式会社 ドライクリーニング方法及びプラズマ処理装置
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9385041B2 (en) 2014-08-26 2016-07-05 Semiconductor Components Industries, Llc Method for insulating singulated electronic die
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10366923B2 (en) 2016-06-02 2019-07-30 Semiconductor Components Industries, Llc Method of separating electronic devices having a back layer and apparatus
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP6481138B2 (ja) * 2017-04-28 2019-03-13 アドバンストマテリアルテクノロジーズ株式会社 配向膜基板の製造方法、スパッタリング装置及びマルチチャンバー装置
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10373869B2 (en) 2017-05-24 2019-08-06 Semiconductor Components Industries, Llc Method of separating a back layer on a substrate using exposure to reduced temperature and related apparatus
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10818551B2 (en) 2019-01-09 2020-10-27 Semiconductor Components Industries, Llc Plasma die singulation systems and related methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3439238A (en) * 1963-12-16 1969-04-15 Texas Instruments Inc Semiconductor devices and process for embedding same in plastic
US3765763A (en) * 1969-07-29 1973-10-16 Texas Instruments Inc Automatic slice processing
US4306292A (en) * 1971-04-16 1981-12-15 Texas Instruments Incorporated Segmented asynchronous operation of an automated assembly line
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
US4250428A (en) * 1979-05-09 1981-02-10 The United States Of America As Represented By The Secretary Of The Army Bonded cathode and electrode structure with layered insulation, and method of manufacture
US4282267A (en) * 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4293249A (en) * 1980-03-03 1981-10-06 Texas Instruments Incorporated Material handling system and method for manufacturing line
JPS57167631A (en) * 1981-03-13 1982-10-15 Fujitsu Ltd Plasma vapor-phase growing method
US4465898A (en) * 1981-07-27 1984-08-14 Texas Instruments Incorporated Carrier for integrated circuit
US4393095A (en) * 1982-02-01 1983-07-12 Ppg Industries, Inc. Chemical vapor deposition of vanadium oxide coatings
US4447469A (en) * 1982-06-10 1984-05-08 Hughes Aircraft Company Process for forming sulfide layers by photochemical vapor deposition
US4439243A (en) * 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal with fluid flow within a slot
US4439244A (en) * 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal having a fluid filled slot
US4615905A (en) * 1982-09-24 1986-10-07 Sovonics Solar Systems, Inc. Method of depositing semiconductor films by free radical generation
US4440108A (en) * 1982-09-24 1984-04-03 Spire Corporation Ion beam coating apparatus
JPS5959876A (ja) * 1982-09-30 1984-04-05 Ushio Inc 光照射炉の運転方法
US4576698A (en) * 1983-06-30 1986-03-18 International Business Machines Corporation Plasma etch cleaning in low pressure chemical vapor deposition systems
US4629635A (en) * 1984-03-16 1986-12-16 Genus, Inc. Process for depositing a low resistivity tungsten silicon composite film on a substrate
US4579609A (en) * 1984-06-08 1986-04-01 Massachusetts Institute Of Technology Growth of epitaxial films by chemical vapor deposition utilizing a surface cleaning step immediately before deposition
KR920004171B1 (ko) * 1984-07-11 1992-05-30 가부시기가이샤 히다찌세이사꾸쇼 드라이에칭장치
US4609103A (en) * 1984-08-27 1986-09-02 Texas Instruments Incorporated Semiconductor slice cassette carrier
US4584207A (en) * 1984-09-24 1986-04-22 General Electric Company Method for nucleating and growing tungsten films
US4664938A (en) * 1985-05-06 1987-05-12 Phillips Petroleum Company Method for deposition of silicon
US4687544A (en) * 1985-05-17 1987-08-18 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US4689112A (en) * 1985-05-17 1987-08-25 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US4632057A (en) * 1985-08-05 1986-12-30 Spectrum Cvd, Inc. CVD plasma reactor
US4673456A (en) * 1985-09-17 1987-06-16 Machine Technology, Inc. Microwave apparatus for generating plasma afterglows
US4675089A (en) * 1985-11-25 1987-06-23 At&T Technologies, Inc. Low temperature deposition method for high quality aluminum oxide films
US4684542A (en) * 1986-08-11 1987-08-04 International Business Machines Corporation Low pressure chemical vapor deposition of tungsten silicide

Also Published As

Publication number Publication date
US4820377A (en) 1989-04-11
DE3873847T2 (de) 1993-03-18
JPH028361A (ja) 1990-01-11
DE3873847D1 (de) 1992-09-24
EP0299247B1 (en) 1992-08-19
KR890002983A (ko) 1989-04-12
EP0299247A1 (en) 1989-01-18
JP2840071B2 (ja) 1998-12-24

Similar Documents

Publication Publication Date Title
KR970000205B1 (ko) 집적회로 및 다른 전자장치를 제조하기 위한 장치 및 방법
KR970000202B1 (ko) 집적 회로 및 다른 전자 장치를 제조하기 위한 장치 및 방법
US5248636A (en) Processing method using both a remotely generated plasma and an in-situ plasma with UV irradiation
US4877757A (en) Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
US4911103A (en) Processing apparatus and method
US4816098A (en) Apparatus for transferring workpieces
US4838990A (en) Method for plasma etching tungsten
US4886570A (en) Processing apparatus and method
US4904621A (en) Remote plasma generation process using a two-stage showerhead
US4988533A (en) Method for deposition of silicon oxide on a wafer
US4872938A (en) Processing apparatus
US4818326A (en) Processing apparatus
US4949671A (en) Processing apparatus and method
US4836905A (en) Processing apparatus
US4822450A (en) Processing apparatus and method
US4910043A (en) Processing apparatus and method
US4818327A (en) Wafer processing apparatus
US4837113A (en) Method for depositing compound from group II-VI
US5138973A (en) Wafer processing apparatus having independently controllable energy sources
US4891488A (en) Processing apparatus and method
US4875989A (en) Wafer processing apparatus
US4849067A (en) Method for etching tungsten
US4906328A (en) Method for wafer treating
US4832777A (en) Processing apparatus and method
US4832778A (en) Processing apparatus for wafers

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
G160 Decision to publish patent application
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20080103

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee