TW416122B - An etch stop layer for dual damascene process - Google Patents
An etch stop layer for dual damascene process Download PDFInfo
- Publication number
- TW416122B TW416122B TW087117913A TW87117913A TW416122B TW 416122 B TW416122 B TW 416122B TW 087117913 A TW087117913 A TW 087117913A TW 87117913 A TW87117913 A TW 87117913A TW 416122 B TW416122 B TW 416122B
- Authority
- TW
- Taiwan
- Prior art keywords
- stop layer
- etch stop
- layer
- patent application
- low
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76835—Combinations of two or more different dielectric layers having a low dielectric constant
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/7681—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
Description
6122 λ-
__—__JH 五、發明説明() ............ 發明領域: 本發明一般係有關於基材上之積體電路之製造’且更 特別的是,本發明係有關於一新的蝕刻停止層和在内連線 之間形成低電容值之雙重鑲嵌結構之製程。 發明背景:_ 在最近十年中積體電路之設計和製造持續且可預期 的有相當的進步。其中一個改良成功之關鍵為多層内連線 技術,此技術提供了積體電路(IC)元件之裝置間的導電路 徑。大型積體電路(VLSI)和超大型積體電路(ULSI)之技術 中水平内連線(通常稱之為線(line))和垂直内連線(通常 丁 、-° 稱之為接觸窗或介層窗;接觸窗延伸到基材之底層上之 疋件’而介層窗則延伸到底層之金屬層如Ml, M2,等) <尺寸降低對於特別是内連線間電容之耦合的減小顯得 愈來愈重要。為了更要改善半導體元件在積體電路上之速 度,則需要利用具有低阻抗之導電材料和低k值(介電常 數<4.0)之絕緣體以降低鄰近金屬線之間的電容耦合。例 如,現在已考慮以铜來替代鋁,因為銅具有較低之阻抗及 較南的電流攜帶能力.而且,比二氧化矽(介電常數〜4 具有較低介電常數之介電材料也已慎重的考慮用於元件 疋生產《這些介電材料中其中一個例子為摻雜有氟之二氧 化矽,也稱之為摻雜氟之矽玻璃(FSG)(介電常數〜3 5_ 3.7)。 然而,這些材料卻在1C製造程序中產生了新的問題。 -------- 第4·頁 本纸 --------- --------^ 416122 Λ· 1Γ 五、發明説明( 例如’由於銅很難蝕刻成精密的 從μ圖案’傳統的沉積/蝕刻製 程以形成内連線變成不可行,# &外’有一種稱作雙重鑲嵌 (dual damascene)之製程乃用爽釈二‘ 「1"請背而之注^"_項ftiri:15本π〕 〜取成鋼之内連線。在一雙重 鑲嵌之製程中,介電層乃蝕刻以令a 疋羽•出接觸窗/介層窗兩者 及内連線。金屬接著乃嵌入所定 <義< 圖案,且任何頂端超 出結構外的金屬乃利用如化學始& 瑪械研磨(CMP)之方法來加 以平坦化而移除。 第1a圖到第lc圖例舉了利用形成於基材U之上的 單一(厚的)電介層1〇用於雙重鑲嵌結構之製造方法。如第 1 a圖中所示的,介電層i 〇乃利 7布』用一限時蝕刻製程來加以 圖案化以定義出内連線2 0。垂吉ώ& 内連線16(也就是接觸窗 /介層窗)乃接著在内連線之皮却士 1 '-7. 氓邵中加以圖案化(第lb圖)並
触刻以曝露出底層之導電成生道μ E 或+導體層如基材丨2(第le 圖)。具有接觸窗/介層窗16和肉,电垃, 押内連線2〇之蝕刻結構乃填 入導體材料且上半表面加以平拍彳 τ坦化。然而,限時蝕刻步驟 之深度很難加以控制,且在内速祛ώ 1丨.* Λ:、 ' 杜門硬線溝渠中又接觸窗/介層窗 之圖案化也是很難執行的製程。 -•r/-;rf、4^^^-χ;ίί费合作扎印鉍 第2a和2b8例舉了另—個用來製造雙重鎮嵌結構之 方法。如第2a囷中所示的,—單一(厚的)介電& 1〇乃形 成於基材12之上,且接觸窗/介層窗16利用限時蝕刻製程 加以圖案化且部分的蝕刻到介電層1〇之中。内連線接 者加以圖案化且使用第二限時蝕刻來形成内連線之溝 渠,如帛2b目中所示。在此第二限時蝕刻步驟中,接觸 窗/介層窗1 6乃蝕刻到額外的深度,足夠讓接觸窗/介層窗 416122 Λ .-. ------— Β7 五、發明説明() --------------------------- 垂直的延伸到其所想要的深度,如圖中的虛線所示。然 而此限時姓刻步鄉還是很難加以控制,因而此製程對商 業化製造來說較無吸引力。 「贫》閱"背而之:^-怨氺項再^-:;,)本頁) 第三個及更多其它較佳的方法利用兩步驟之介電層 >儿積及一蝕刻停止層沉積於其中之雙重鑲嵌結構製造方 法則如第3圖中所示。第—介電層丨〇沉積於基材之上且 接著一蝕刻停止層14乃沉積於第一介電層之上。蝕刻停 止層接著加以圖案化以定義出接觸窗/介層窗丨6的開口。 一第二介電層18然後再沉積於圖案化之蝕刻停止層之 上’且接著圖案化以定義出内連線2(^因此只執行一次姑 刻製程來定義出蝕刻停止層以下之内連線,且由蝕刻停止 訂 層圖案所曝露的未被保護之介電材料以定義出接觸窗/介 層窗。 氮化矽為所選擇之蝕刻停止層材料。然而,配置於介 電層之間的氮化矽係在内連線之間的邊緣場之中。氮化碎 和周圍之介電層比較起來具有相當高的介電常數(介電常 數〜7) ’且可以發現到氮化矽可以非常有效的增加内連線 之間耦合的電容,即便一相當低k值之介電材料乃做為主 要的絕緣體。如此則產生了串擾訊號及/或電阻-電容(RC) 延遲,並和元件之整體效能有關。 因此,極需要一個形成雙重鑲嵌之製程並在内連線之 間有降低的電容辑合。 ___ n' 五'發明説明() 發明目的及概诚: 本發明提供了一製造程序和蝕刻終止村料,其可讓雙 重鑲嵌結構更為可靠,並減小蝕刻停止層對内連線之間的 電容搞合的影響。在一實施例中,一低k值之介電薄膜; 例如一非晶碳(a - C)或非晶碳氟化物(a - F C)薄膜用來做 為内金屬介電質(IMD)之下的蝕刻停止層。其它的低k值 材料例如聚對-二甲苯基,AF4,BCB,PAE,氮氧化物和 碳化j夕也可加以利用。 本發明較佳的製造程序至少包含沉積例如氟化碎破 璃(FSG)層之第.一介電層於一基材上,沉積例如a_FC層之 低k值介電停止層於第一介電層之上’將蝕刻停止層圖案 化以定義出接觸窗/介層窗,沉積例如FSG之第二介電層, 在第二介電層之上圖案化一阻抗層以定義出一或多個内 連線’且蝕刻内連線和接觸窗/介層窗。在最後的蝕刻步赞 中内連線乃蝕刻到蝕刻停止層,且接著繼續加以蝕刻並缒 過圖案化後的蝕刻停止層以定義出接觸窗,介層窗。一旦雙 重鑲嵌結構形成之後,最好在將此結搆填入鋼之前先岣勹 的沉積一層阻障層,以便隔離銅和其它的材料,例如矽。 而上表面則利用化學機械研磨技術加以平坦化。 周式簡單說明: 由上面之陳述將可達到本發明的特徵,優點和目的, 而且經由參:考實施例及後面之附圖之後,更可詳細的瞭解 本發明。 —__________第7頁 本紙張乂度嘀 π 中 β K 孓 d- ( t'NS ) ,\.π.--------- -----_ Λ 416122 五、發明説明() 先 閱 讀 背 面 .冬 i 事 項 再 填 本 Τί 然而,後面之附圖只是本發明之典型實施例而已,並 不因此而限制了本發明之範圍,其它具同等效力之實施例 亦在本發明之範圍内。 第1 a-1 c圖之截面視圖顯示了依照傳統技術之雙重鑲嵌製 程; 第2a和2b圖之截面視圖也顯示了依照傳統技術之雙重鑲 嵌製程; 第3圖之截面視圖顯示了形成於一基材之上的雙重鑲嵌結 構; 第4a-4h圖之截面視圖顯示了依照本發明之一實施例的沉 積程序;及 訂 第5圖為第4圖之雙重鑲嵌結構之沉積製造程序。 圖號對照說明: 10 第 一 介 電 層 12 基 材 14 停 止 層 16 開 π 18 第 二 介 電 層 20 内 連 線 22 光 阻 層 24 阻 障 層 26 内 連 線 發明詳細說明: 本發明提供了改善的雙重鑲嵌結構*至少包含了一低 k值之蝕刻停止層,且最好是一非晶,類似鑽石結構之碳(α -C)材料。低k值之姑刻停止層在此所定義的為具有等於 第8頁 本纸張尺度適用中阔闹掌d- U'NS > : 2111 416122 ___________Η' 五、發明説明() 或低於|l化碎之介電常數(介電常數~7 〇)的蝕刻停止層。 依照本發明所製造之雙重鑲嵌結構顯示於第4h圖’而此 結構之製造方法則依序在第4a-4h圖中描繪出來,此些圖 中的基材截面視圖中係以本發明之步驟所形成β 如第4a圖中所顯示的’最初之第一介電層1 〇,例如 FSG ’氧化矽層’或類似的材料,乃於基材12之上沉積約 5000到約10000a之厚度,並和所要製造之結構的尺寸有 關*如第4b圖中所示的’低k值之蝕刻停止層14,例如 a -C,a -FC ’聚對-二曱苯基,af4,BCB,PAE,氮氧化 物或碳化矽等材料,接著在第一介電層上沉積約2〇〇到約 1 000A之厚度β低k值蝕刻停止層14接著加以圈案化並 姓刻而定義出接觸窗/介層窗開口 16,且曝露出接觸窗/介 層窗所要形成之第一介電層1〇的面積,如第4c圈中所 示。低k值之蝕刻停止層1 4最好利用傳統的微影和蝕刻 製程以氟,碳,和氧離子加以圈案化並蝕刻。在低k值之 姓刻停止層14已加以蝕刻而形成接觸窗/介層窗的圖案且 光阻也移除之後,第二介電層1 8則沉積於蝕刻停止層! 4 之上約5000到約i〇〇〇〇a之厚度,如第4d圏中所示。第 二介電層18接著加以圖案化以定義出内連線20,且最好 是利用傳統之微影製程及光阻層22,如第4e圖中所示。 内連線和接觸窗/介層窗接著利用離子反應蝕刻或其它的 非等向性蝕刻技術加以蝕刻以定義出金屬層結構(也就是 内連線和接觸窗/介層窗),.如第4f圖中所示。任何光阻或 其它用來圓案化並蝕刻停止層14或第二介電層18的材料 _____ 第9貰 木㈣丨,關: ~--- ^^1 ^^^1 I— - *1— t , if ^^^1 n^i V.· .- V 、T (背而之注念萝項^4、'1·:'本N ) 4^6122 Λ"
_______ JP 五、發明説明()~~~~ … ――— 則利用氧氣剝離或其它適當的方法加以移除。 金屬層結構再以例如鋁’銅’鎢或其組合之導電材料 形成》現在的趨勢是利用銅原子來填入較小的介層窗因 為銅有較低的電阻率(和鋁的31以比較只有ι 7以 Q -cm)。如第4g圓中所示的,最好由鈦,氮化鈦,或其 它適合的阻障材料形成一層均勻的阻障層24沉積於金屬 層圖案疋上,以避免銅原子擴散到周圍的矽基材及/或介電 材料中。之後再利用化學氣相沉積,物理氣相沉積,電子 .被復’或其組合的方法將銅原子沉積以形成導電結構。一 旦結構中填入了銅或其它的金屬之後’其表面再以化學機 械研磨加以平坦化,如第4h圖中所示的。 .丁 *-=3 本發明之另一實施例則考慮利用FSG作為内金屬介 電層’ α-FC(介電常數〜2.8)作為蝕刻停止層且銅為金屬層 而完成此雙重鎮嵌結搆。此製程將在下面加以詳述β而此 實施例之製程步驟則顯示於第5圖中。 經部中央標孳局只-τ消f合作杜印製 將一基材放入來自 Applied materials,Inc.,Santa Clara, California的DxZ®反應室之中。第一覆蓋fsG層之 厚度大約5000A且利用TEOS ’ 02,和C2F6或其它氣鱧流 過基材之表面而在基材之上沉積。 一氧化阻陣層乃沉積在FSG層之上以避免在FSG層 和接下來的a -FC層之間有不同材料之擴散。 接著’將基材移到ultima HDp-CVD反應室中,此反 應室也來自 Applied materials, Inc., of Santa Clara, California,其中5〇〇A厚之a -FC蝕刻停止層乃形成於第 第10頁 ΊΊΑ] w -ΐ- ( CNS Γλ4*1' ί :10 < ?,r · ' ;·; 416122 a: --—_____ ΙΓ 五、發明説明( ) —FSG層之上。α -FC蝕刻停止層之沉積由八氟環丁烷 (C4F8)和甲烷(Ch4)以約20sccm和约200SCcm之速率流入 反應室而得,而最好是約50sccm。氬氣,或其它的隋性氣 體則以約20sccm到約100scem之速率流入反應室。1〇〇〇ψ 疋來源功率和丨〇〇〇w之偏壓功率分別加到來源線圈和基 材支撐元件以便在處理反應室中揸擊和維持高濃度之電 衆。在沉積時反應室之壓力最好是低於1〇mT〇rr。這是上 面所描述之較好之製程’其它的製程和例如d,c2H4, C2H6 ’ CF4,C2F6 ’ C3F8 ’ CHF3,和 C6f6 之前導氣體也可 加以利用β 接著’一光阻層在α-FC蝕刻停止層之上形成,並以 傳統的微影方法曝露而定義出接觸窗/介層窗之開口。接著 將基材移入介電層蚀刻反應器如IPS反應室中’此反應室 也來自 Applied materials,Inc‘,of Santa Clara, 經#‘部中央櫟來"JH工消伦合作社印狀
California a a _FC蝕刻停止層最好非等向性的以三氟曱坑 ’氧,和氬氣等高密度電漿加以蝕刻而經由α _fc 蚀刻停止層定義出接觸窗/介層窗開口。α _FC蝕刻停止層 之蚀刻最好在實際上蝕刻底層的FSG層時就停止以避免 過度姓刻》在a-FC層和FSG層之間的終點偵測最方便的 方式是由蝕刻副產品氣體之光學偵測。剎下的光阻層接著 利用02電漿加以剥離。 基材接著移回到DxZ®反應室中並在圖案化之a -FC 蚀刻停止層之上形成5000Α之第二FSG層。第二光阻層 接著沉積在第二FSG層之上並由傳統之微影方法定義出 __ 第11頁 各紙张尺度適川中闽闹家疗卑(('NS ) ( 210-77";:. ί;'' ..... - 41Q122 ***^*•*1 **! ______ Ι_·_ _ 五、發明説明() - 光阻間的内連線開口。接著將基材移回lps反應室’將基 材曝露在多碳,無氧氣,氟-碳蝕刻環境之下以單一步想 蝕刻整個雙重鑲嵌結構。FSG層最好是以qF8或c3f6和 氬氣之電漿非等向性蝕刻,因此内連線和接觸窗/介層^乃 形成相t直的侧壁且;支有底&。加入少f的氫氣以保護側 壁。一旦内連線蝕刻到圖案化之α 層’則蝕刻乃實質
的限制到已明案化之接觸窗/介層冑。在姓刻完兩層MG 層之後的終點偵測最方便的是由光學偵測蝕刻副產品氣 體。在内連線之蝕刻完成之後,剩下的光阻層利用氧氣電 漿加以剝離。 鈦,氮化鈦,及其組合之阻障層,或其它合通之阻障 層最好案化之雙重錄嵌結搆之上形成,且接著在此結 構之上填入铜。其中一個將此結構中填入銅的方法提供了 利用CVD技術將接觸窗/介層窗填入銅,且接著利用pvD 技術將此結構剩下的體積填入銅9然而,任何適當的充填 方法例如CVD,PVD(包括高密度電漿PVD),電子被覆或 其组合都可以使用。然後在沉積其它鍍層之前用化學機械 研磨法或其它的平坦化製程將其平坦化, 粒-,·部中央惮^'^H工消贽合竹权印鉍 如第4h圖中所示的,一铜的雙重鑲嵌結構具有位於 内連線26之間的低k值蝕刻停止層〗4乃加以形成。蝕刻 停止層14之低k值特性可預防内連線之間的串擾訊號和 增加RC延遲’而影響到元件的速度。 另外的at -C和a -FC蝕刻停止層包括其它的碳基基 材料。特別的是’有許多碳基基材料適合做氧化内金屬介 一 —__________ 第 12 頁 本紙乐度適用中闲内m ( cns ),vm'·ί^ΓΓΙΤο^:™ x j: 一'一"'—~ 416122 五、發明说明() 電層之蝕刻停止層。這樣的材料其介電常數也低於氮化矽 (氮化矽)將可降低内連線之間的電容耦合。此類不同的碳 基底薄膜包括聚對-二甲苯基和相關的材料,例如聚對-二 甲苯基·Ν和AF4, BCB sp丨n-on,PAE,氮氧化物和碳化攻。 由於前述内容乃導向本發明之較佳實施例,本發明之 其它和更進一步的實施例也可在不偏離本發明之範圍下 得之’而其範圍則由下面之申請專利範圍所決定。 - ,—i I — 1 I Ί ί— 1.1 ^ ("WWM 背而之"^事項4"!'(,';"·^頁) 經沪却中央桴涑杓妇工消资合作杜印批 __ 第13頁 木<也疋度適β中阈网糸( ) :丨(),:(_r r
Claims (1)
- δ σο δ 8 ABCD 416122 々、申請專利範圍 1.一種形成雙重鑲嵌結構之方法,該方法至少包含: 沉積一第一介電層於一基材上; 沉積一低k值蝕刻停止層於該第一介電薄膜之上; 姓刻製程該低k值蝕刻停止層以定義出垂直内連線 開口並曝露出第一介電薄膜; 沉積一第二介電薄膜於低k值蝕刻停止層和曝露之 第一介電薄膜之上;及 触刻製程該第二介電薄膜以定義出水平的内連線, 且堪續的蝕刻曝露的第一介電薄膜以定義出垂直的内 連線》 2.如申請專利範圍第1项所述之方法,其中上述之低让值 姓刻停止層係從包含α _FC,a -C,聚對-二f苯基, AI%,BCB,PAE,氮氧化物,碳化矽和其组合所組成之 群集所選擇出來。 3_如申請專利範圍第1項所述之方法,其中上述之介電屠 至少包含摻雜氟原子之矽玻璃且低k值蝕刻停止層至少 包含a -FC。 4. 如申請專利範圍第3項所述之方法,其中更包含了在沉 積低k值蚀刻停止層之前和之後沉積_氧化物阻障層。 5. 如申請專利範圍第1項所述之方法’其中上述之蝕刻水 第uT 本纸張尺度逋用中國國家標準(CNS ) ..Μ規格(210X297公渖 (請先聞讀背面之注意事項再填寫本頁) 訂 經濟部中央標準局貝工消費合作社印製 A8 B8 C8 D8 法至少包含下列步 六、申請專利範園 平和垂直内連線係為單一步驟蝕刻程序。 6_如申請專利範圍帛1項所述之方法,其中上述之低k值 蚀刻停止層為α -FC。 7.如申請專利範圍帛6項所述之方法,其中上述之α吓c 蝕刻停止層之沉積係由選自至少包含CH4,C2h4, C2H6 ’ C6H6 ’ CF4 ’ c2f6 ’ c3f8 ’ c4f8,chf3 和其紕合 之氣體群集中. 8·如申請專利範圍丨6項所述之方法,其中上述之a-FC 姓刻停止層之沉積係來自至少包含和CH4氣體β 一種形成雙重鑲嵌結構之方法,該方 辑: iic積第一介電薄膜於一基材上; 沆積一低k值蝕刻停止層於第一介電薄膜之上: 圖案化第一光阻層以定義出一或多個垂直的内連 開ο ; 蝕刻製程該低k值介電停止層以定義出一或多個垂 直内連線開口並曝露出該第一介電薄膜; 剝離該第一光阻層,並以氧氣電漿為之; 沉積第二介電薄膜於該低k僅介電蝕刻停止層和該 曝露之第—介電薄膜之上; -------^十,---------1T-----If' - (請先Μ讀背面之注意事項再填寫太頁) 蛵濟部中夬祿隼局員工消費合作,社印製 良紙張 第15頁 (CNS ) Λ桃烙(2I0X297公聲:丨 經濟部中央標準局員工消費合作社印裝 416122 b C_.〇 D8六、申請專利範圍 沉積第二光阻層於該第二介電薄膜之上; 圖案化該第二光阻層以定義出一或多個水平的内連 線: 蝕刻製程該第二介電薄膜以定義出一或多個水平之 内連線,且繼續的蝕刻該第一介電薄膜以定義出一或多 個垂直内連線。 10. 如申請專利範圍第9項所述之方法,其中上述之低k 值蚀刻停止看係選自至少包含a-FC,α-C,聚對-二甲 苯基,AF4,BCB,PAE,氮氧化物,碳化碎和其組合之 群集中。 11. 如申請專利範圍第9項所述之方法,其中上述之介電層 至少包含摻雜氟離子之矽玻璃且該低k值蝕刻停止層至 少包含a -FC β 12. 如申請專利範圍第11項所述之方法,其中更包含了在 沉積該低k值姓刻停止層之前和之後沉積一氧化物阻障 層。 13. 如申請專利範圍第9項所述之方法,其中上述之蚀刻水 平和垂直内連線為單一步驟蝕刻程序。 1 4 .如申請專利範圍第9項所述之方法,其中上述之低k 第16耳___ 本紙張尺度適用中囤國家標準(CNS ) Λ4规格ί 2丨οκ^7公f ' (請先閱讀背面之注意事項再填寫本頁) 訂 線 416122 AS B3 C8 D8 六、申請專利範圍 值蝕刻停止層為α · F C。 15. 如申請專利範圍第14項所述之方法,其中上述之 姓刻停止層之沉積係選自至少包含CH4, c2h4 , c2h6, C2H2 ’ C6H6 ’ CF4 ’ C2F6 ’ C3F8 ’ c4f8,chf3 和其組合 之氣體群集中。 16. 如申請專利範圍第14項所述之方法,其中上述之a_Fc 蝕刻停止層之沉積係來自至少包含C4Fg和CH4氣體。 17. —種雙重鑲嵌結構,該結構至少包含: a) -第一低k值介電層定義出一或多個垂直内連 線; b) 一低k值圖案化之蝕刻停止層覆蓋於該第一低k 值介電層之上,且蝕刻製程以定義出一或多個垂直内連 線; c) 一第二低k值介電層覆蓋於該圖案化之低k值蝕 刻停止層之上且定義出一或多個水平内連線:及 句其中該低k值圓案化之蝕刻停止層至少包含介電 常數至少等於或低於該第一或第二介電層之材料。 1 8·如中請專利範園第17項所述之結構’其中上述之低k 值蚀刻停止層至少包含非晶碳。 第17頁 本紙張尺度it财賴;!:縣(CNS > Λ4規格(2Η)χ 297公舞丨 (請先W讀背面之注意事項再填寫^頁) 訂 經濟部中央標準局員工消費合作社印製 經濟部中夹標準局員工消費合作社印裝 Α8 Β8 C8 D8 申請專利範圍 19,如申請專利範圍第18項所述之結構,其中上述之第一 和第二介電層所組成之材料係選自摻雜氟之氧化矽,氧 化發或其組合。 20.如申請專利範圍第η項所述之結構,其中上述之低让 值姓刻停止層至少包含的材料係選自a-FC,α-C,聚 對·二甲苯基,AF4,BCB,PAE,氮氧化物,碳化矽和 其组合。 2ί·如申請專利範園第19項所述之結構,其中上述之一或 多個垂直内連綠和該一或多個水平内連線所组成之材 料係選自鋁,銅,鎢和其组合· 22_如申請專利範圍第21項所述之結構,其中更包含了配 置於該低k值介電層和金屬層之間的阻障層。 23 _如申請專利範圍第22項所述之結構,其中上述之阻障 層係由鈦,氮化鈦’氮化矽或其组合所组成。 24. —種蝕刻停止層,至少包含—碳基底之材料且具有小於 7之介電常數。 2 5.如申請專利範圍第24項之蝕刻停止層,其中上述之碳 基基材料係選自至少包含α-FC,£2-C,聚對_二〒苯 第18頁 本紙張尺度適財賴家料(CNS )八说格(21ϋΧ29?公誇ΐ' (请先閲讀背面之注意事項再填寫本瓦) 、va Λ8 B8 416122_^ 六、申請專利範圍 基,AF4’ BCB’ PAE’氮氧化物,碳化矽和其組合之群 集中。 26. —種碳基底之薄膜,具有低於氮化矽之介電常數,用於 矽基底内金屬介電層之下的蝕刻停止層。 27. —種碳基底之薄膜,具有低於氮化矽之介電常數,用於 雙重鑲嵌結構中之矽基底内金屬介電層之下的蝕刻停 止層。 (請先閱讀背面之注意事項再填寫本頁) -1T 禮濟部中央樣準局員工消費合作社印製 第 本紙乐足度適用中國國家標嗥(CNS ) A4現格(210X297公釐
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/995,029 US6291334B1 (en) | 1997-12-19 | 1997-12-19 | Etch stop layer for dual damascene process |
Publications (1)
Publication Number | Publication Date |
---|---|
TW416122B true TW416122B (en) | 2000-12-21 |
Family
ID=25541321
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW087117913A TW416122B (en) | 1997-12-19 | 1998-10-28 | An etch stop layer for dual damascene process |
Country Status (3)
Country | Link |
---|---|
US (1) | US6291334B1 (zh) |
TW (1) | TW416122B (zh) |
WO (1) | WO1999033102A1 (zh) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7732326B2 (en) | 2004-02-25 | 2010-06-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method |
CN107887323A (zh) * | 2016-09-30 | 2018-04-06 | 中芯国际集成电路制造(北京)有限公司 | 互连结构及其制造方法 |
CN108231659A (zh) * | 2016-12-15 | 2018-06-29 | 中芯国际集成电路制造(北京)有限公司 | 互连结构及其制造方法 |
Families Citing this family (140)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6340435B1 (en) * | 1998-02-11 | 2002-01-22 | Applied Materials, Inc. | Integrated low K dielectrics and etch stops |
JP3177968B2 (ja) * | 1998-12-04 | 2001-06-18 | 日本電気株式会社 | 半導体装置及びその製造方法 |
JP2000077410A (ja) * | 1998-08-27 | 2000-03-14 | Tokyo Ohka Kogyo Co Ltd | 多層配線構造の形成方法 |
US6974766B1 (en) * | 1998-10-01 | 2005-12-13 | Applied Materials, Inc. | In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application |
US6635583B2 (en) | 1998-10-01 | 2003-10-21 | Applied Materials, Inc. | Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating |
US6599829B2 (en) * | 1998-11-25 | 2003-07-29 | Texas Instruments Incorporated | Method for photoresist strip, sidewall polymer removal and passivation for aluminum metallization |
US7378740B2 (en) * | 1998-12-01 | 2008-05-27 | United Microelectronics Corp. | Dual damascene structure for the wiring-line structures of multi-level interconnects in integrated circuit |
GB2389963A (en) * | 1998-12-04 | 2003-12-24 | Nec Electronics Corp | Semiconductor device and method of manufacture |
US6423384B1 (en) | 1999-06-25 | 2002-07-23 | Applied Materials, Inc. | HDP-CVD deposition of low dielectric constant amorphous carbon film |
CN1192427C (zh) * | 1999-08-25 | 2005-03-09 | 因芬尼昂技术股份公司 | 制造具有至少一个金属化平面的集成电路的方法 |
US6365327B1 (en) | 1999-08-30 | 2002-04-02 | Agere Systems Guardian Corp. | Process for manufacturing in integrated circuit including a dual-damascene structure and an integrated circuit |
US6313025B1 (en) * | 1999-08-30 | 2001-11-06 | Agere Systems Guardian Corp. | Process for manufacturing an integrated circuit including a dual-damascene structure and an integrated circuit |
FR2798512B1 (fr) * | 1999-09-14 | 2001-10-19 | Commissariat Energie Atomique | Procede de realisation d'une connexion en cuivre au travers d'une couche de materiau dielectrique d'un circuit integre |
US6297149B1 (en) * | 1999-10-05 | 2001-10-02 | International Business Machines Corporation | Methods for forming metal interconnects |
US6399489B1 (en) | 1999-11-01 | 2002-06-04 | Applied Materials, Inc. | Barrier layer deposition using HDP-CVD |
GB0001179D0 (en) | 2000-01-19 | 2000-03-08 | Trikon Holdings Ltd | Methods & apparatus for forming a film on a substrate |
US6812130B1 (en) * | 2000-02-09 | 2004-11-02 | Infineon Technologies Ag | Self-aligned dual damascene etch using a polymer |
US6573030B1 (en) | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
US6348395B1 (en) * | 2000-06-07 | 2002-02-19 | International Business Machines Corporation | Diamond as a polish-stop layer for chemical-mechanical planarization in a damascene process flow |
JP2002194547A (ja) * | 2000-06-08 | 2002-07-10 | Applied Materials Inc | アモルファスカーボン層の堆積方法 |
DE10042932C2 (de) | 2000-08-31 | 2002-08-29 | Infineon Technologies Ag | Verfahren zur Herstellung eines Metallkontaktes in einem Dielektrikum |
EP1320884A2 (en) * | 2000-09-11 | 2003-06-25 | Tokyo Electron Limited | Semiconductor device and fabrication method therefor |
US6753258B1 (en) * | 2000-11-03 | 2004-06-22 | Applied Materials Inc. | Integration scheme for dual damascene structure |
US6441490B1 (en) * | 2000-12-18 | 2002-08-27 | Advanced Micro Devices, Inc. | Low dielectric constant stop layer for integrated circuit interconnects |
US6388330B1 (en) * | 2001-02-01 | 2002-05-14 | Advanced Micro Devices, Inc. | Low dielectric constant etch stop layers in integrated circuit interconnects |
US6620733B2 (en) * | 2001-02-12 | 2003-09-16 | Lam Research Corporation | Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics |
US6777344B2 (en) | 2001-02-12 | 2004-08-17 | Lam Research Corporation | Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications |
US6524973B2 (en) * | 2001-03-13 | 2003-02-25 | United Microelectronics Corp. | Method for forming low dielectric constant layer |
US6472333B2 (en) | 2001-03-28 | 2002-10-29 | Applied Materials, Inc. | Silicon carbide cap layers for low dielectric constant silicon oxide layers |
US6576545B1 (en) * | 2001-03-29 | 2003-06-10 | Advanced Micro Devices, Inc. | Semiconductor devices with dual nature capping/ARC layers on fluorine doped silica glass inter-layer dielectrics and method of forming capping/ARC layers |
US6511920B2 (en) * | 2001-06-14 | 2003-01-28 | Applied Materials, Inc. | Optical marker layer for etch endpoint determination |
US6410426B1 (en) * | 2001-07-09 | 2002-06-25 | Texas Instruments Incorporated | Damascene cap layer process for integrated circuit interconnects |
US7085616B2 (en) | 2001-07-27 | 2006-08-01 | Applied Materials, Inc. | Atomic layer deposition apparatus |
US20030027427A1 (en) * | 2001-08-06 | 2003-02-06 | Applied Materials, Inc. | Integrated system for oxide etching and metal liner deposition |
US6926926B2 (en) | 2001-09-10 | 2005-08-09 | Applied Materials, Inc. | Silicon carbide deposited by high density plasma chemical-vapor deposition with bias |
JP2003109943A (ja) * | 2001-09-28 | 2003-04-11 | Mitsubishi Electric Corp | パターン形成方法 |
US6656837B2 (en) | 2001-10-11 | 2003-12-02 | Applied Materials, Inc. | Method of eliminating photoresist poisoning in damascene applications |
US6838393B2 (en) | 2001-12-14 | 2005-01-04 | Applied Materials, Inc. | Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide |
US7091137B2 (en) * | 2001-12-14 | 2006-08-15 | Applied Materials | Bi-layer approach for a hermetic low dielectric constant layer for barrier applications |
US6890850B2 (en) | 2001-12-14 | 2005-05-10 | Applied Materials, Inc. | Method of depositing dielectric materials in damascene applications |
US7226853B2 (en) * | 2001-12-26 | 2007-06-05 | Applied Materials, Inc. | Method of forming a dual damascene structure utilizing a three layer hard mask structure |
US20030134499A1 (en) | 2002-01-15 | 2003-07-17 | International Business Machines Corporation | Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof |
US6737747B2 (en) | 2002-01-15 | 2004-05-18 | International Business Machines Corporation | Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof |
US6806203B2 (en) | 2002-03-18 | 2004-10-19 | Applied Materials Inc. | Method of forming a dual damascene structure using an amorphous silicon hard mask |
US6541397B1 (en) * | 2002-03-29 | 2003-04-01 | Applied Materials, Inc. | Removable amorphous carbon CMP stop |
US20030194496A1 (en) * | 2002-04-11 | 2003-10-16 | Applied Materials, Inc. | Methods for depositing dielectric material |
US6951709B2 (en) * | 2002-05-03 | 2005-10-04 | Micron Technology, Inc. | Method of fabricating a semiconductor multilevel interconnect structure |
US6706637B2 (en) * | 2002-05-09 | 2004-03-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual damascene aperture formation method absent intermediate etch stop layer |
US6888251B2 (en) * | 2002-07-01 | 2005-05-03 | International Business Machines Corporation | Metal spacer in single and dual damascene processing |
US6800938B2 (en) * | 2002-08-08 | 2004-10-05 | International Business Machines Corporation | Semiconductor device having amorphous barrier layer for copper metallurgy |
US7749563B2 (en) * | 2002-10-07 | 2010-07-06 | Applied Materials, Inc. | Two-layer film for next generation damascene barrier application with good oxidation resistance |
JP4202091B2 (ja) * | 2002-11-05 | 2008-12-24 | 株式会社半導体エネルギー研究所 | アクティブマトリクス型液晶表示装置の作製方法 |
US20040121604A1 (en) * | 2002-12-18 | 2004-06-24 | Chun-Feng Nieh | Method of etching a low-k dielectric layer |
US6905964B2 (en) | 2003-01-09 | 2005-06-14 | Chartered Semiconductor Manufacturing Ltd. | Method of fabricating self-aligned metal barriers by atomic layer deposition on the copper layer |
US6790788B2 (en) * | 2003-01-13 | 2004-09-14 | Applied Materials Inc. | Method of improving stability in low k barrier layers |
US6806192B2 (en) * | 2003-01-24 | 2004-10-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of barrier-less integration with copper alloy |
US6943111B2 (en) * | 2003-02-10 | 2005-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Barrier free copper interconnect by multi-layer copper seed |
US7129180B2 (en) * | 2003-09-12 | 2006-10-31 | Micron Technology, Inc. | Masking structure having multiple layers including an amorphous carbon layer |
US7132201B2 (en) * | 2003-09-12 | 2006-11-07 | Micron Technology, Inc. | Transparent amorphous carbon structure in semiconductor devices |
KR100588904B1 (ko) | 2003-12-31 | 2006-06-09 | 동부일렉트로닉스 주식회사 | 구리 배선 형성 방법 |
US20050184288A1 (en) * | 2004-02-25 | 2005-08-25 | Tien-I Bao | Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method |
US7407893B2 (en) * | 2004-03-05 | 2008-08-05 | Applied Materials, Inc. | Liquid precursors for the CVD deposition of amorphous carbon films |
US7638440B2 (en) * | 2004-03-12 | 2009-12-29 | Applied Materials, Inc. | Method of depositing an amorphous carbon film for etch hardmask application |
US7079740B2 (en) * | 2004-03-12 | 2006-07-18 | Applied Materials, Inc. | Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides |
US20050199585A1 (en) * | 2004-03-12 | 2005-09-15 | Applied Materials, Inc. | Method of depositing an amorphous carbon film for metal etch hardmask application |
US7030041B2 (en) | 2004-03-15 | 2006-04-18 | Applied Materials Inc. | Adhesion improvement for low k dielectrics |
US7098105B2 (en) * | 2004-05-26 | 2006-08-29 | Micron Technology, Inc. | Methods for forming semiconductor structures |
US7288205B2 (en) | 2004-07-09 | 2007-10-30 | Applied Materials, Inc. | Hermetic low dielectric constant layer for barrier applications |
US7298872B2 (en) * | 2004-08-17 | 2007-11-20 | Shawn Glisson | Electronic identification system for form location, organization, and endorsment |
US7151040B2 (en) | 2004-08-31 | 2006-12-19 | Micron Technology, Inc. | Methods for increasing photo alignment margins |
US7442976B2 (en) | 2004-09-01 | 2008-10-28 | Micron Technology, Inc. | DRAM cells with vertical transistors |
US7910288B2 (en) | 2004-09-01 | 2011-03-22 | Micron Technology, Inc. | Mask material conversion |
US7655387B2 (en) | 2004-09-02 | 2010-02-02 | Micron Technology, Inc. | Method to align mask patterns |
US7115525B2 (en) * | 2004-09-02 | 2006-10-03 | Micron Technology, Inc. | Method for integrated circuit fabrication using pitch multiplication |
US7138717B2 (en) | 2004-12-01 | 2006-11-21 | International Business Machines Corporation | HDP-based ILD capping layer |
US7253118B2 (en) * | 2005-03-15 | 2007-08-07 | Micron Technology, Inc. | Pitch reduced patterns relative to photolithography features |
US7390746B2 (en) * | 2005-03-15 | 2008-06-24 | Micron Technology, Inc. | Multiple deposition for integration of spacers in pitch multiplication process |
US7611944B2 (en) | 2005-03-28 | 2009-11-03 | Micron Technology, Inc. | Integrated circuit fabrication |
US7371627B1 (en) | 2005-05-13 | 2008-05-13 | Micron Technology, Inc. | Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines |
US7120046B1 (en) | 2005-05-13 | 2006-10-10 | Micron Technology, Inc. | Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines |
US7429536B2 (en) | 2005-05-23 | 2008-09-30 | Micron Technology, Inc. | Methods for forming arrays of small, closely spaced features |
US7560390B2 (en) | 2005-06-02 | 2009-07-14 | Micron Technology, Inc. | Multiple spacer steps for pitch multiplication |
US7396781B2 (en) * | 2005-06-09 | 2008-07-08 | Micron Technology, Inc. | Method and apparatus for adjusting feature size and position |
US7541632B2 (en) * | 2005-06-14 | 2009-06-02 | Micron Technology, Inc. | Relaxed-pitch method of aligning active area to digit line |
US7902598B2 (en) * | 2005-06-24 | 2011-03-08 | Micron Technology, Inc. | Two-sided surround access transistor for a 4.5F2 DRAM cell |
US7888721B2 (en) | 2005-07-06 | 2011-02-15 | Micron Technology, Inc. | Surround gate access transistors with grown ultra-thin bodies |
US7768051B2 (en) | 2005-07-25 | 2010-08-03 | Micron Technology, Inc. | DRAM including a vertical surround gate transistor |
US7413981B2 (en) * | 2005-07-29 | 2008-08-19 | Micron Technology, Inc. | Pitch doubled circuit layout |
US8123968B2 (en) | 2005-08-25 | 2012-02-28 | Round Rock Research, Llc | Multiple deposition for integration of spacers in pitch multiplication process |
US7816262B2 (en) | 2005-08-30 | 2010-10-19 | Micron Technology, Inc. | Method and algorithm for random half pitched interconnect layout with constant spacing |
US7829262B2 (en) | 2005-08-31 | 2010-11-09 | Micron Technology, Inc. | Method of forming pitch multipled contacts |
US7696567B2 (en) | 2005-08-31 | 2010-04-13 | Micron Technology, Inc | Semiconductor memory device |
US7416943B2 (en) | 2005-09-01 | 2008-08-26 | Micron Technology, Inc. | Peripheral gate stacks and recessed array gates |
US7393789B2 (en) | 2005-09-01 | 2008-07-01 | Micron Technology, Inc. | Protective coating for planarization |
US7557032B2 (en) | 2005-09-01 | 2009-07-07 | Micron Technology, Inc. | Silicided recessed silicon |
US7687342B2 (en) | 2005-09-01 | 2010-03-30 | Micron Technology, Inc. | Method of manufacturing a memory device |
US7759197B2 (en) | 2005-09-01 | 2010-07-20 | Micron Technology, Inc. | Method of forming isolated features using pitch multiplication |
US7776744B2 (en) | 2005-09-01 | 2010-08-17 | Micron Technology, Inc. | Pitch multiplication spacers and methods of forming the same |
US7572572B2 (en) | 2005-09-01 | 2009-08-11 | Micron Technology, Inc. | Methods for forming arrays of small, closely spaced features |
KR100739975B1 (ko) * | 2005-12-20 | 2007-07-16 | 주식회사 하이닉스반도체 | 반도체 소자의 제조 방법 |
US7538858B2 (en) * | 2006-01-11 | 2009-05-26 | Micron Technology, Inc. | Photolithographic systems and methods for producing sub-diffraction-limited features |
US20070200179A1 (en) * | 2006-02-24 | 2007-08-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Strain enhanced CMOS architecture with amorphous carbon film and fabrication method of forming the same |
US7476933B2 (en) | 2006-03-02 | 2009-01-13 | Micron Technology, Inc. | Vertical gated access transistor |
US7842558B2 (en) | 2006-03-02 | 2010-11-30 | Micron Technology, Inc. | Masking process for simultaneously patterning separate regions |
US7902074B2 (en) * | 2006-04-07 | 2011-03-08 | Micron Technology, Inc. | Simplified pitch doubling process flow |
US8003310B2 (en) * | 2006-04-24 | 2011-08-23 | Micron Technology, Inc. | Masking techniques and templates for dense semiconductor fabrication |
US7488685B2 (en) * | 2006-04-25 | 2009-02-10 | Micron Technology, Inc. | Process for improving critical dimension uniformity of integrated circuit arrays |
US7795149B2 (en) | 2006-06-01 | 2010-09-14 | Micron Technology, Inc. | Masking techniques and contact imprint reticles for dense semiconductor fabrication |
US7723009B2 (en) | 2006-06-02 | 2010-05-25 | Micron Technology, Inc. | Topography based patterning |
US20070286954A1 (en) * | 2006-06-13 | 2007-12-13 | Applied Materials, Inc. | Methods for low temperature deposition of an amorphous carbon layer |
US7611980B2 (en) | 2006-08-30 | 2009-11-03 | Micron Technology, Inc. | Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures |
US7517804B2 (en) * | 2006-08-31 | 2009-04-14 | Micron Technologies, Inc. | Selective etch chemistries for forming high aspect ratio features and associated structures |
US7666578B2 (en) * | 2006-09-14 | 2010-02-23 | Micron Technology, Inc. | Efficient pitch multiplication process |
US8129289B2 (en) * | 2006-10-05 | 2012-03-06 | Micron Technology, Inc. | Method to deposit conformal low temperature SiO2 |
US8242028B1 (en) | 2007-04-03 | 2012-08-14 | Novellus Systems, Inc. | UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement |
US20080254233A1 (en) * | 2007-04-10 | 2008-10-16 | Kwangduk Douglas Lee | Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes |
US7923373B2 (en) * | 2007-06-04 | 2011-04-12 | Micron Technology, Inc. | Pitch multiplication using self-assembling materials |
US8563229B2 (en) * | 2007-07-31 | 2013-10-22 | Micron Technology, Inc. | Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures |
US20090093128A1 (en) * | 2007-10-08 | 2009-04-09 | Martin Jay Seamons | Methods for high temperature deposition of an amorphous carbon layer |
US7737039B2 (en) | 2007-11-01 | 2010-06-15 | Micron Technology, Inc. | Spacer process for on pitch contacts and related structures |
US7659208B2 (en) | 2007-12-06 | 2010-02-09 | Micron Technology, Inc | Method for forming high density patterns |
US7790531B2 (en) | 2007-12-18 | 2010-09-07 | Micron Technology, Inc. | Methods for isolating portions of a loop of pitch-multiplied material and related structures |
US8030218B2 (en) | 2008-03-21 | 2011-10-04 | Micron Technology, Inc. | Method for selectively modifying spacing between pitch multiplied structures |
US20090269923A1 (en) * | 2008-04-25 | 2009-10-29 | Lee Sang M | Adhesion and electromigration improvement between dielectric and conductive layers |
US8076208B2 (en) | 2008-07-03 | 2011-12-13 | Micron Technology, Inc. | Method for forming transistor with high breakdown voltage using pitch multiplication technique |
US8101497B2 (en) | 2008-09-11 | 2012-01-24 | Micron Technology, Inc. | Self-aligned trench formation |
US8492282B2 (en) | 2008-11-24 | 2013-07-23 | Micron Technology, Inc. | Methods of forming a masking pattern for integrated circuits |
US9653327B2 (en) | 2011-05-12 | 2017-05-16 | Applied Materials, Inc. | Methods of removing a material layer from a substrate using water vapor treatment |
CN103187359B (zh) * | 2011-12-29 | 2015-07-08 | 中芯国际集成电路制造(上海)有限公司 | 金属互连线的形成方法 |
US10366988B2 (en) | 2015-08-14 | 2019-07-30 | International Business Machines Corporation | Selective contact etch for unmerged epitaxial source/drain regions |
US10741495B2 (en) | 2018-01-18 | 2020-08-11 | Globalfoundries Inc. | Structure and method to reduce shorts and contact resistance in semiconductor devices |
JP7462626B2 (ja) * | 2018-10-26 | 2024-04-05 | アプライド マテリアルズ インコーポレイテッド | パターニング応用のための高密度炭素膜 |
US11164777B2 (en) | 2020-01-15 | 2021-11-02 | International Business Machines Corporation | Top via with damascene line and via |
US11177170B2 (en) | 2020-01-16 | 2021-11-16 | International Business Machines Corporation | Removal of barrier and liner layers from a bottom of a via |
US11232977B2 (en) | 2020-02-11 | 2022-01-25 | International Business Machines Corporation | Stepped top via for via resistance reduction |
US11177166B2 (en) | 2020-04-17 | 2021-11-16 | International Business Machines Corporation | Etch stop layer removal for capacitance reduction in damascene top via integration |
US11189568B2 (en) | 2020-04-29 | 2021-11-30 | International Business Machines Corporation | Top via interconnect having a line with a reduced bottom dimension |
US11295978B2 (en) | 2020-04-29 | 2022-04-05 | International Business Machines Corporation | Interconnects having spacers for improved top via critical dimension and overlay tolerance |
US11804406B2 (en) | 2021-07-23 | 2023-10-31 | International Business Machines Corporation | Top via cut fill process for line extension reduction |
US11869808B2 (en) | 2021-09-22 | 2024-01-09 | International Business Machines Corporation | Top via process with damascene metal |
Family Cites Families (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4789648A (en) * | 1985-10-28 | 1988-12-06 | International Business Machines Corporation | Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias |
US5087959A (en) * | 1987-03-02 | 1992-02-11 | Microwave Technology, Inc. | Protective coating useful as a passivation layer for semiconductor devices |
US5246884A (en) * | 1991-10-30 | 1993-09-21 | International Business Machines Corporation | Cvd diamond or diamond-like carbon for chemical-mechanical polish etch stop |
US5679267A (en) * | 1994-04-04 | 1997-10-21 | Texas Instruments Incorporated | Dual etching of ceramic materials with an elevated thin film |
US5559367A (en) | 1994-07-12 | 1996-09-24 | International Business Machines Corporation | Diamond-like carbon for use in VLSI and ULSI interconnect systems |
US5635423A (en) * | 1994-10-11 | 1997-06-03 | Advanced Micro Devices, Inc. | Simplified dual damascene process for multi-level metallization and interconnection structure |
JP2737720B2 (ja) | 1995-10-12 | 1998-04-08 | 日本電気株式会社 | 薄膜形成方法及び装置 |
US5744865A (en) * | 1996-10-22 | 1998-04-28 | Texas Instruments Incorporated | Highly thermally conductive interconnect structure for intergrated circuits |
US5930669A (en) * | 1997-04-03 | 1999-07-27 | International Business Machines Corporation | Continuous highly conductive metal wiring structures and method for fabricating the same |
US6057227A (en) * | 1997-06-23 | 2000-05-02 | Vlsi Technology, Inc. | Oxide etch stop techniques for uniform damascene trench depth |
US6030904A (en) * | 1997-08-21 | 2000-02-29 | International Business Machines Corporation | Stabilization of low-k carbon-based dielectrics |
US6127263A (en) * | 1998-07-10 | 2000-10-03 | Applied Materials, Inc. | Misalignment tolerant techniques for dual damascene fabrication |
-
1997
- 1997-12-19 US US08/995,029 patent/US6291334B1/en not_active Expired - Lifetime
-
1998
- 1998-10-28 TW TW087117913A patent/TW416122B/zh not_active IP Right Cessation
- 1998-11-10 WO PCT/US1998/023888 patent/WO1999033102A1/en active Application Filing
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7732326B2 (en) | 2004-02-25 | 2010-06-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method |
US8053359B2 (en) | 2004-02-25 | 2011-11-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method |
CN107887323A (zh) * | 2016-09-30 | 2018-04-06 | 中芯国际集成电路制造(北京)有限公司 | 互连结构及其制造方法 |
CN107887323B (zh) * | 2016-09-30 | 2020-06-05 | 中芯国际集成电路制造(北京)有限公司 | 互连结构及其制造方法 |
CN108231659A (zh) * | 2016-12-15 | 2018-06-29 | 中芯国际集成电路制造(北京)有限公司 | 互连结构及其制造方法 |
CN108231659B (zh) * | 2016-12-15 | 2020-07-07 | 中芯国际集成电路制造(北京)有限公司 | 互连结构及其制造方法 |
Also Published As
Publication number | Publication date |
---|---|
US6291334B1 (en) | 2001-09-18 |
WO1999033102A1 (en) | 1999-07-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW416122B (en) | An etch stop layer for dual damascene process | |
US7723226B2 (en) | Interconnects containing bilayer porous low-k dielectrics using different porogen to structure former ratio | |
US6265321B1 (en) | Air bridge process for forming air gaps | |
TW483027B (en) | Semiconductor device and method of making same | |
US6472306B1 (en) | Method of forming a dual damascene opening using CVD Low-K material and spin-on-polymer | |
US6489233B2 (en) | Non-metallic barrier formations for copper damascene type interconnects | |
JP4492947B2 (ja) | 半導体装置の製造方法 | |
JP4177993B2 (ja) | 半導体装置及びその製造方法 | |
TWI241682B (en) | A method for forming dummy structures for improved CMP and reduced capacitance | |
KR100810788B1 (ko) | 듀얼 다마신 구조의 에칭 방법 | |
US6734096B2 (en) | Fine-pitch device lithography using a sacrificial hardmask | |
TW437040B (en) | Interconnect line formed by dual damascene using dielectric layers having dissimilar etching characteristics | |
KR100338477B1 (ko) | 반도체 장치 제조방법 | |
US6265319B1 (en) | Dual damascene method employing spin-on polymer (SOP) etch stop layer | |
JP2002525840A (ja) | 特に銅デュアルダマシーンに有用な原位置統合酸化物エッチングプロセス | |
TW464952B (en) | Process for producing semiconductor device | |
TW552642B (en) | Integrated system for oxide etching and metal liner deposition | |
TW200419712A (en) | Pre-etching plasma treatment to form dual damascene with improved profile | |
TW567530B (en) | Manufacturing method semiconductor integrated circuit including simultaneous formation of via hole reaching metal wiring and concave groove in interlayer film and semiconductor integrated circuit manufactured with the manufacturing method | |
TW200411765A (en) | Improved etch stop layer | |
TW200524051A (en) | Minimizing the loss of barrier materials during photoresist stripping | |
TWI251898B (en) | Damascene process for fabricating interconnect layers in an integrated circuit | |
JP2004055781A (ja) | 半導体装置の製造方法 | |
JP2004289155A (ja) | 選択性エッチング化学薬品及びcd制御のための高重合性ガスを含むbarcエッチング | |
US20060134921A1 (en) | Plasma etching process |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
GD4A | Issue of patent certificate for granted invention patent | ||
MM4A | Annulment or lapse of patent due to non-payment of fees |