TW396432B - Method for removing etching residues and contaminants - Google Patents

Method for removing etching residues and contaminants Download PDF

Info

Publication number
TW396432B
TW396432B TW087118930A TW87118930A TW396432B TW 396432 B TW396432 B TW 396432B TW 087118930 A TW087118930 A TW 087118930A TW 87118930 A TW87118930 A TW 87118930A TW 396432 B TW396432 B TW 396432B
Authority
TW
Taiwan
Prior art keywords
per minute
substrate
cubic centimeters
standard cubic
oxygen
Prior art date
Application number
TW087118930A
Other languages
English (en)
Inventor
Simon John Molloy
Daniel Joseph Vithkavage
Original Assignee
Lucent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lucent Technologies Inc filed Critical Lucent Technologies Inc
Application granted granted Critical
Publication of TW396432B publication Critical patent/TW396432B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

A 7 B7 五、發明説明纟) 發明領域 本發明有關去除光阻蝕刻劑及蝕刻殘留物與污染物及 特別在積體電路裝置蝕刻通路孔中所產生者之氣體電漿處 理方法。 發明背景 半導體積體電路裝置典型包括多層使介電材料置於其 間之垂直堆疊金屬互連層。當微電子電路變得日益整合時 ,該電路之表面積隨著所垂直堆疊金屬互連層數目之增加 而減少。譬如,吾人預料具有一平方公分面積之晶片可能 具有數十至數百層密集之互連層,以有效使用在該 之所有元件。如此,有增加耦合互連層之改善方法 〇 用接觸孔及經由製成該介電層時即放置於其中之通路 孔達成各互連層間之電連接。接觸孔係一電連接該金屬層 至該半導體基材之線管,及通路孔係一電連接可爲鄰接層 或遠側層之二金屬互連層之線管。該接觸及通路孔典型係 由需要使用高溫及反應溶劑以除掉光阻蝕刻劑及去除其他 殘留物與自由離子污染物之蝕刻技術形成。然而,這些方 法有缺點。 例如,第1 A至1 G圖描述涉及用接線柱式接觸及通 路孔建造一積體電路裝置之示範處理步驟之圖解橫截面圖 。於第1A圖中,其顯示一半導體基材1〇,在基材 上面已放置大致顯示爲活化區12之多數電晶體或二 (請先閱讀背面之注意事項再^C本頁) 訂 -線— 〇 經濟部中央標準局員工消費合作社印製
本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -4- 經濟部中央標準局負工消費合作社印製 A7 B7 五、發明説明$ ) 。可包括二氧化矽或其他諸如一氧化鉬(a — T a 2〇5) 、一鈦氧化物(a - τ i Ο x )、或氧化x —(鋇,鋸)鈦 (x 一( s r ) T i 〇3)介電材料之薄膜之第一介 電層1 4係該基材上方。一譬如由氮化矽構成之蝕 刻停止層1 6 在該介電層上方。接觸孔i 8 (第 1 C至1 D圖所示)形成在第1 a圖之裝置中,以接觸該 基材1 0之活化區1 2。 形成該接觸孔18之裝置之蝕刻操作通常係用一光阻 蝕刻劑罩膜及涉及使用一電漿RIE處理及諸如三氟甲烷 (CHF3)或六氟化硫(S f6)之反應氣體之乾式蝕刻 法施行。參考第1 B圖,一感光性罩膜可用於在該蝕刻停 '止層1 6之選定區域上方澱積一光阻触刻劑層2 〇。用反 應蝕刻劑可控制地蝕刻該蝕刻停止層16及介電層14之 » * % 暴露部份,以暴露該活化區1 2及提供接觸孔1 8 (第 1C圖)。然而參考第1C圖,需要去除該光阻蝕刻劑層 20 ’亦即提供第1D圖之結構。大致在高溫下使用一含 氧電漿施行該層2 0之剝除,例如在約攝氏2 5 0度下。 用於剝除該光阻鈾刻劑之高溫對該製程導致棘手之問 題’因高溫可造成在該接觸或通路孔底部之材料氧化(亦 即這些材料可包括砂、氮化鈦、或鋁)。該光阻蝕刻製程 可造成自由離子污染物(亦即鈉離子、氯離子、氟化物族 離子、或其他離子之有機化合物)變得嵌入晶圓表面(通 常由二氧化矽所構成,)。應移去這些污染物,因其可造-成 電氣裝置或晶體之缺陷、降低氧化物破壞強度、及使該裝 本紙張尺度適用中國國家標準(CNS ) A4規格(210X.297公釐) -5 - (請先閱讀背面之注意事項再^本頁) 訂 線· 經濟部中央標準局員工消費合作社印製 Λ7 __^_____B7 五、發明説明$ ) 置之整體性能及產量惡化。爲去除該污染物,通常施行一 等方向性氧化物蝕刻(例如使用一乾式電漿處理及四氟化 碳/氧氣或三氟化氮/氦氣之氣體混合物)。可使用其他 方法,諸如以一氫氟酸溶液淸洗。無論如何,與光阻蝕刻 劑剝除製程有關之高溫導致氧化或自由離子污染問題,而 不利地影響產品之產量及可靠性及需要使用額外之處理步 驟。 此外,··在剝除該光阻蝕刻劑2 0之後,可保留光阻蝕 刻劑殘留物(例如在該蝕刻停止層1 6之表面上),及在 該接觸或通路孔18處之基材表面及側壁可含有蝕刻劑殘 留物。這些殘留物通常包括含有鈦及鋁之薄膜(非揮發性 •蝕刻產品),該薄膜時常黏著至該接觸或通路孔之側壁。 如此,一旦剝除該光阻蝕刻劑,需要滌淸該結構》這典型 _ ♦ \ 係以諸如硫酸/過氧化氫之溶液或一般商業中習知爲 EKC — 265 (包括羥胺,2 — (2_氨基乙羥)乙醚 、苯鄰二酚(Cathechol)、及一鹼屬緩衝劑之溶液),及 ACT — CMI (二甲替乙醯胺及二乙醇胺之溶液)之溶 液。可隨後施行另一氧化物蝕刻或淸潔步驟以去除該自由 離子污染及氧化層。 當持續製造該積體電路裝置及形成每一族群之通路孔 時,可繼續這光阻蝕刻劑及蝕刻製程以提供另一通路孔, 並重複該淸洗製程。譬如,第1 E圖顯示第1 D圖之接觸 孔18充滿一金屬互連結構2 6,並對該活化區12提-供 —電連接。在該互連結構2 6上已放有一保護層2 8及一 (請先閱讀背面之注意事項再^喊本頁) -裝·
,1T 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐> -6- 經濟部中央標準局員工消費合作社印製 Λ7 _—_B? 五、發明説明·) 介電層3 0。一光阻蝕刻劑層3 1係顯示澱積在該介電層 3 0所選擇區域上方,及施行該電漿蝕刻製程以在暴露區 域提供通路孔3 2,如第1F圖所示。可隨後移去第if 圖之光阻蝕刻劑層3 1以提供第1 G圖之結構。如先前所 討論,在高溫剝除該光阻鈾刻劑3 1將造成自由離子污染 。施加一淸潔溶液以由蝕刻表面3 0及由通路3 2之側壁 或頂部表面去除蝕刻劑或光阻蝕刻劑殘留物。然後施加另 一氧化物餓·刻或淸潔步驟以處理自由離子污染。當塗佈額 外之層時可繼續這些製程,導致經由於製造期間所蝕刻之 很多通路孔提供多重互連區域,隨後施以很多淸洗步驟。 雖然上述蝕刻製程對產生具有多數互連部份之多層積 .體電路裝置是有利的,關於該光阻蝕刻劑剝除及殘留物去 除製程仍有缺點。於該光阻蝕刻劑剝除製程中使用高溫係 - · \ 不利的,因其可能造成自由離子嵌入該基材,而需要額外 之蝕刻或淸洗步驟。用於去除該殘留物之溶劑可能造成溶 劑累積及該電路裝置之腐蝕。當整合各電路裝置及減少該 裝置之表面積時,同樣減少該通路孔之直徑,而增加該溶 劑將變得誘捕於該通路孔及增加潛在腐蝕之可能性。每一 淸潔步驟增加處理時間,及特別當製造一多層結構時,每 一額外步驟可大幅增加全部之處理時間。反應溶劑之使用 亦造成處理問題及健康顧慮。 據此,在涉及積體電路之製造接線柱式通路孔中有需 要用於剝除光阻蝕刻劑層及去除殘留物及污染物之改良-方 法。本發明專注於這些需要。當考慮下面之敘述時可更充 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閱讀背面之注意事項再本頁) -裝. -訂 Λ7 Λ7 經濟部中央標準局員工消费合作社印製 _______B7 五、發明説明$ ) 分顯現進一·步之優點。 發明槪論 申請人已發現在製造一積體電路裝置之通路孔及接觸 孔時’一氣體電漿製程可應用於去除一光阻蝕刻劑層及改 變每一蝕刻殘留物之成份二者,使得該殘留物可溶於水及 可用去離子水洗滌。該製程涉及將具有蝕刻通路孔或接觸 孔之基材放入一反應爐中;使該基材接觸選自包括氧、氮 、氟、碳氫氟化物(Hydrofluorocarbon)、氟代甲院( fluoromethane)及碳氫基氨氣體族群之氣體混合物;及以去 離子水洗滌該基材。可在少於約攝氏1 0 0度之溫度下進 '行該製程。較氣體混合物包括氧、氮、及氟代甲烷; 氧、氮、及四氟院(tetra__romethane ):及氧、三氟 化胺(Trifluoroa^^)及四氟烷。最好使用一遙控之 電漿源反應爐。%
W 圖面簡述 爲更佳理解本發明,下面敘述一示範實施例,並一起 考慮其所附圖面,其中: 第1 A至1 G圖說明涉及製造積體電路裝置中通.路孔 及接觸孔之步驟;及 第2圖係本發明方法各步驟之方塊圖。 吾人將了解的是這些圖面用於說明本發明槪念之目-的 及非按比例製作。 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ^8~- ---------:裝-- (請先閲讀背面之注意事項再Ϊ本頁) 訂 I.線— 〇 Λ7 __;____ B7 五、發明説明0 ) 主要元件對照表 10 12 14 16 18 20 26 28 30 31 32 發明之詳細敘述 經濟部中央標隼局員工消费合作社印製 基材 活化區 介電層 停止層 接觸孔 光阻蝕刻劑層 互連結構 保護層 · 介電層 光阻蝕刻劑層 通路孔 裝-- f·. (請先閱讀背面之注意事項再於爲本頁) 申請人已發現一種涉及用氣體電漿處理及低溫(少於 約攝氏1 0 0度)製造整合之接線柱式通路孔及接觸孔以 剝除光阻飩刻劑及去除殘留物之新方法。以這製程,該氣 體電漿既剝除該光阻蝕刻劑及去除殘留物,而亦改變該可 溶於水殘留物之成份,以致可使用一去離子水洗滌。如此 該製程避免反應淸洗溶劑之使用及其相關之問題,諸如潛 在之腐蝕、減少產量及可靠性、及健康與安全性顧慮。這 製程之低溫應用進一步避免有關自由離子污染之困難。-本; 發明之方法亦比先前之光阻飩刻劑剝除及殘留物去除方& 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐i ~ ~ '~~ 經濟部中央標準局負工消費合作社印製 _____B? 五、發明説明《) ~ 簡化,減少全部處理時間及增加效率及產量。 例如’參考第2圖,其顯示本發明方法各步驟之方塊 圖。如可由第2圖看出者,該方法具有很少步騾,這將大 幅減少製造設有互連層及通路孔及接觸孔之積體電路之處 理時間。第一步驟(第2圖方塊1 )係應用該領域中已知 之方法施行通路孔蝕刻(或接觸孔鈾刻),諸如用三氟甲 烷或六氟化硫之反應氣體混合物。所用之特別蝕刻法對本 發明並非極重要’及可形成諸如垂直或傾斜之任何型式通 路孔或接觸孔。該蝕刻將有可能涉及使用一欲剝除之光阻 蝕刻劑層。 下一步驟(第2圖方塊2 )將該基材放入一合適之反 ‘應爐或真空室中供施加氣體電漿。在此位置該基材可出現 爲第1 C或1 F圖之反映,亦即具有該蝕刻通路孔及接觸 孔(1 8,3 2 )及欲剝除之光阻蝕刻劑層(2 0,3 1 )。該反應爐可爲買自應用材料公司之一遙控電漿源( R P S )反應爐,該反.應爐係該領域中已知者。亦可使用 其他在該領域中已知之反應爐,諸如下游或電漿反應爐; 高密度(感耦式)反應爐;三極真空管或其他雙功率型反 應爐;高功率電漿反應爐;及低功率R I E電漿反應爐。 該反應爐應在一給定之低晶圓偏壓模式中操作,該模式含 有約少於5 0電子伏特之最大能量供離子撞擊該晶圓表面 ,亦即該氣體電漿製程中之離子撞擊應盡可能溫和。吾人 已發現一具有1 0 0 0至1 5 0 0瓦應用功率之遙控電-漿 源反應爐運作良好。 (請先閱讀背面之注意事項再:^ί•本頁) -β 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) -10- Λ7 Λ7 經濟部中央標準局貝工消費合作社印製 五、發明説明6 ) 下一步驟(第2圖方塊3)產生一具有低基材偏壓之 電漿,以用選自包括氧、氮、氟、碳氫氟化物、氟代甲烷 及碳氫基氨氣體族群之氣體混合物撞擊該晶圓表面。較佳 之氣體混合物包括氧、氮、及氟代甲烷;氧、氮、及四氟 代甲烷;及氧、三氟化胺及四氟代甲烷。更特別地是使用 包括約(a )每分鐘1 5 0-2 5 0標準立方公分氧氣、 每分鐘1 0 0 — 2 0 0標準立方公分氮氣、及每分鐘 150 — 2.50標準立方公分氟代甲烷(CH3F);(b )每分鐘8 0 0 — 1 0 0 0標準立方公分氧氣、每分鐘 50 — 2 0 0標準立方公分氮氣、及每分鐘1 〇 — 3 0標 準立方公分四氟化碳;(c)每分鐘900 — 1 100標 .準立方公分氧氣、及每分鐘2 0 — 4 0標準立方公分三氟 化氮;及(d )每分鐘7 0 0-9 0 0標準立方公分氧氣 、每分鐘2 0 - 4 0標準立方公分三氟化氮、及每分鐘 1 5 0 — 2 5 0標準立方公分水蒸氣之氣體混合物有利地 蝕刻該晶圓。(單位、(:(:111”意指在標準壓力及溫度下之標準 立方公分/每分鐘。)最後混合物中之水蒸氣係一加至該 電漿之蒸氣及不會取代隨後之液態洗滌水。特別較佳的是 使用包括約(a)每分鐘200標準立方公分氧氣、每分 鐘1 5 0標準立方公分氮氣、及每分鐘2 0 0標準立方公 分氟代甲院;(b)每分鐘970標準立方公分氧氣、每 分鐘1 0 0標準立方公分·氮氣、及每分鐘2 0標準立方公 分四氟化碳;(c :)每分鐘1 〇 〇 〇標準立方公分氧氣'、 及每分—3 0標準立方公分三氟化氮;及(d )每分鐘 ---------1丨_ .、-.1 ί (請先閲讀背面之注意事項再#¾本頁) 訂 線| 〇 本紙張尺度適用中國國家標準(CNS〉A4規格(2I0X:m公兹) -11 - Λ? Λ? 經濟部中央標準局員工消费合作社印製 五、發明説明$ ) 8 0 〇標準立方公分氧氣、每分鐘3 0標準立方公分三氟 化氮及每分鐘2.0 0標準立方公分水蒸氣之氣體混合物。 又該蒸氣不會取代該液態洗滌水。 該氣體在一相當高之剝除速率下剝除該光阻蝕刻劑 2 5,3 7,而其亦改變來自該蝕刻製程之殘留物成份, 使得該殘留物可溶於水及能以液態洗滌水淸潔。該製程最 好在少於約攝氏1 0 0度之溫度下施行,及更佳係在攝氏 4 0至9 0度之溫度下,而在約1 0至9 0秒時期間施行 該離子撞擊。 最後,施加一液態洗滌水(第2圖方塊4 )以去除現 在可溶於水之殘留物。 現在將參考範例敘述本發明。然而,應了解的是該範 例係供說明用及事實上未限制本發明。 - · ' 範例1 參考第1 E圖,使用一光刻法以在該介電層3 0之選 定區域上方設計一感光聚合物層3 1之圖案。遵循該技藝 中已知之方法,用一 R I E電漿處理及反應蝕刻劑可控制 地蝕刻該介電層3 0之暴露部以提供第1 F圖之結構 。第1F圖之結構係放入一應抖公司之RP S反應爐 。該反應爐壓力設定在1 . 5 0 ( Torr )。以包括氧、 氮、及碳氫氟化物氣體之氣體混^撞擊該基材及特別撞 擊該通路孔側壁,該氣體混合物之相對成份爲每分鐘 -2 0 0標準立方公分氧氣、每分鐘1 5 0標準立方公分氮 本紙張尺度通用中國國家標準(CNS ) A4規格(210X297公釐) -12- ' " ---------^裝-- (請先閱讀背面之注意事項再ri?本頁) 訂 -線| 〇 Λ7 Β7 五、發明説明纟〇 ) 氣、及每分鐘2 Ο 0標準立方公分氟代甲烷。該氣體混合 物係在1 0 0 0瓦下施加至該基材上方達約6 0秒。該溫 度隨時保持在或低於攝氏1 0 0度。這撞擊改變該殘留物 之成份以使其變成可溶於水。其次,該反應爐之壓力降低 至.7陶爾,及施加約每分鐘1 0 0 0標準立方公分氧氣 、每分鐘1 0 0標準立方公分氮氣、及每分鐘2 0標準立 方公分四氟化碳之氣體混合物。該混合物在1 4 0 0瓦下 施加至該基材上方達約3 0秒,而剝除該光阻蝕刻劑層( 第1 F圖3 1 )。在這位置由該反應爐移去該基材及以去 離子水洗滌該基材係有利的。依諸如該光阻蝕刻劑之純度 狀態而定,吾人可能想要將該基材送回該反應爐以去除自 .由之鈉離子。在該情況下,該反應爐壓力改變至1 . 00 陶爾及在1 4 0 0瓦下施加包括約每分鐘2 3 0標準立方 公分氧氣、每分鐘6 0標準立方公分三氟化氮、及每分鐘 4 0 0標準立方公分四氟化碳之氣體混合物至該基材上方 達約1 5秒。 經濟部中央標隼局員工消費合作社印聚 -------裝II (請先閱讀背面之注意事項再^•本頁) -線| 〇 吾人將了解的是_在此所述實施例僅只供示範及熟練該 技藝之人士可作各種變化及修改,卻未偏離本發明之精神 及範圍。所有此等變化及修改係意欲涵括在所附申請專利 之範圍內。’ 本紙張尺度適用中國國家標準(CNS ) Α4規格(210Χ297公釐) -13-

Claims (1)

  1. 經濟部中央標準局員工消費合作社印製 六、申請專利範圍 1 · 一種光阻蝕刻劑剝除及鈾刻殘留物去除之方法, 包括下列步驟: (a )提供一具有光阻蝕刻劑層及蝕刻劑殘留物之 蝕刻基材; (b) 將該基材放入反應爐中用以在該基材表面上 方施加一氣體電漿; (c) 用一氣體混合物蝕刻該基材表面以去除該光 阻蝕刻劑勝及改變該蝕刻劑殘留物之成份,以致該殘留物 可溶於水,其中使該基材之溫度維持在少於約攝氏1 0 0 度下施行蝕刻;及 (-d )以去離子水洗滌該基材。 ' 2 .根據申請專利範圍第1項之方法,其中該氣體係 選自氧、氮、_氟、碳氫氟化物、氟代.甲烷及碳氫基氨氣體 之族群。 3 .根據申請專利範圍第1項之方法,其中該蝕刻基 材具有用於耦合一積體電路裝置各互連層之通路孔或接觸 孔。 4 .根據申請專利範圍第1項之方法,其中該反應爐 係在少於約1 5 0 0瓦下操作之下游或遙控電漿反應爐。 5 .根據申請專利範圍第1項之方法,其中蝕刻該基 材表面之步驟包括在少於約5 0電子伏特之能階下用離子 撞擊該基材表面。 6 ..根據申請專利範圍第1項之方法,其中該氣體混 合物包括選自(a)氧、氮、及氟代甲院;(b)氧、氮 本紙張尺度適用中國國家揉準(CNS ) A4規格(210X297公釐) (請先間讀背面之注意事項再填寫本頁)
    經濟部中央樣準局負工消費合作社印裝 -15- A8 B8 C8 D8 々、申請專利範圍 、及四氟代甲烷;(C )氧、三氟化胺、及四氟代甲烷; (d )氧及三氟化胺;及(e )氧、三氟化胺、及水蒸氣 族群之多數氣體混合物。 7 .根據申請專利範圍第1項之方法’其中該氣體混 合物係選自包括約(a )每分鐘1 5 0 — 2 5 0標準立方 公分氧氣、每分鐘1 0 〇 一 2 0 0標準立方公分氮氣、及 每分鐘150 — 250標準立方公分氟代甲烷;(b)每 分鐘8 0 CT_ 1 〇 〇 〇標準立方公分氧氣、每分鐘5 0 — 2 0 0標準立方公分氮氣、及每分鐘1 〇 一 3 0標準立方 公分四氟化碳;(c)每分_鐘900— 110 0標準立方 公分氧氣.、琴每分鐘2 0 _ 4 0標準立方公分三氟化氮; ‘及(d)每分鐘70 0 — 9 00標準立方公分氧氣、每分 鐘2 0 — 4 0骠準立方公分三氟化氮.、及每分鐘1 5 0 — .2 5 0標準立方公分水蒸氣之族群。 8 .根據申請專利範圍第7項之方法’其中蝕刻該基 材表面之步驟包括在1 4 0 0瓦及1 _ 0陶爾下施加約每 分鐘2 0 0標準立方公分氧氣、每分鐘1 5 0標準立方公 分氮氣、及每分鐘2 0 0標準立方公分氟代甲烷之氣體混 .合物至該基材上方達約6 0秒;在1 〇 〇 〇瓦及· 7陶爾 下施加約每分鐘1 0 0 0標準立方公分氧氣、每分鐘 1 0 0標準立方公分氮氣、及每分鐘2 0標準立.方公分四 氟化碳之氣體混合物至該基材上方達約3 0秒;及在 1 4 0 0瓦及1. 0 0陶爾下施加約每分鐘2 3 0標準'立 方公分氧氣、每分鐘6 0標準立方公分三氟化氮、及每分 本紙張尺度適用中國國家揉準(CNS ) A4规楼( 210X297公釐) ----------- (請先閎讀背面之注意事項再填寫本頁) 訂 tr ABCD 經濟部中央標準局貝工消費合作社印装 六、申請專利範圍 鐘4 0 0標準立方公分四氟化碳之氣體混合物至該基材上 方達約1 5秒。 9 · 一種去除光阻蝕刻劑及蝕刻殘留物之方法,係用 在於基材製造接線柱式通路孔及接觸孔以用於耦合積體電 路裝置各互連層之製程中,該製程係爲施加一光阻蝕刻劑 層至該基材表面之選定部份、留下暴露部份、及施加一反 應蝕刻劑以在該基材表面之暴露部份形成通路孔或接觸孔 之型式,該方法包括下列步驟: (a )將該基材放入反應爐中用以在該基材表面上 方施加一氣體電漿; (b )用一氣體混合物蝕刻該基材表面以去除該光 阻蝕刻劑層及改變該蝕刻劑殘留物之成份,以致該殘留物 可溶於水,其中使該基材之溫度維持在少於約攝氏1 0 0. 度下施行蝕刻;及 (c)以去離子水洗滌該基材。 1 0 .根據申請專利範圍第9項之方法,其中在該基 材中蝕刻垂直或傾斜之接線柱式通路孔。 1 1 .根據申請專利範圍第9項之方法,其中該氣體 係選自氧、氮、氟、碳氫氟化物、氟代甲烷及碳氫基氨氣 體之族群。 1 2 .根據申請專利範圍第9項之方法,其中該反應 爐係在少於約1 5 0 0瓦下操作之下游或遙控電漿反應爐 〇 1 3 .根據申請專利範圍第9項之方法,其中飩刻該 -----------^II (請先閎讀背面之注意事項再填寫本買) 訂 -tr 本紙張尺度逋用中國國家標準(CNS ) A4規格(210X297公釐) -16- A8 B8 C8 D8 々、申請專利範圍 基材之步驟包括在少於約5 0電子伏特之能階下用離子撞 擊該基材表面。 1 4 .根據申請專利範圍第9項之方法,其中該氣體 混合物包括選自(a)氧、氮、及氧代甲焼;(b)氧、 氮、及四氟代甲烷;(c )氧、三氟化胺' 及四氟代甲烷 ;(d )氧及三氟化胺;及(e )氧、三氟化胺、及水蒸 氣族群之多數氣體混合物。 經濟部中央標準局貝工消费合作社印装 1 5 .根據申請專利範圍第9項之方法,其中該氣體 混合物係選自包括約(a )每分鐘1 5 0_ 2 5 0標準立 方公分氧氣、每分鐘1 〇 〇 - 2 0 0標準立方公分氮氣、 及每分鐘.1 50 — 25〇標準立方公分氟代甲烷;(b) 每分鐘800—1000標準立方公分氧氣、每分鐘50 -2 0 0標準-立方公分氮氣及每分鐘.1 〇 - 3 0標準立方 公分四氟化碳;(c)每分鐘900 - 1100標準立方 公分氧氣、及每分鐘20 — 40標準立方公分三氟化氮; 及(d )每分鐘7 0 0 — 9 0 0標準立方公分氧氣、每分 鐘2 0 - 4 0標準立方公分三氟化氮及每分鐘1 5 0 -2 5 0標準立方公分水蒸氣之族群。 1 6 .根據申請專利範圍第9項之方法,其中蝕刻該 基材表面之步驟包括在1400瓦及1.0陶爾下施加約 每分鐘2 0 0標準立方公分氧氣、每分鐘1 5 0標準立方 公分氮氣、及每分鐘2 0 0標準立方公分氟代甲烷之.氣體 混合物至該基材上方·達約6 0秒;及在1 0 · 0 0瓦及._ 7 陶爾下施加約每分鐘1 0 0 0標準立方公分氧氣、每分鐘 -17 (請先鬩讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 396432 A8 B8 C8 D8 六、申請專利範圍 1 0 0標準立方公分氮氣、及每分鐘2 0標準立方公分四 氟化碳之氣體混合物至該基材上方達約3 0秒。 1 7 .根據申請專利範圍第1 6項之方法,另包括在 1 4 0 0瓦及1 . 0 0陶爾下施加約每分鐘2 3 0標準立 方公分氧氣、每分鐘6 0標準立方公分三氟化氮、及每分 鐘4 0 0標準立方公分四氟化碳之第三氣體混合物至該基 材上方達約1 5秒。 (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 -18- 本紙張尺度逋用中國國家標準(CNS ) A4規格(21〇Χ25>7公釐)
TW087118930A 1997-11-26 1998-11-16 Method for removing etching residues and contaminants TW396432B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/979,297 US5849639A (en) 1997-11-26 1997-11-26 Method for removing etching residues and contaminants

Publications (1)

Publication Number Publication Date
TW396432B true TW396432B (en) 2000-07-01

Family

ID=25526825

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087118930A TW396432B (en) 1997-11-26 1998-11-16 Method for removing etching residues and contaminants

Country Status (4)

Country Link
US (2) US5849639A (zh)
JP (1) JPH11260785A (zh)
KR (1) KR100347648B1 (zh)
TW (1) TW396432B (zh)

Families Citing this family (220)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19630705A1 (de) 1995-08-30 1997-03-20 Deutsche Telekom Ag Verfahren zur Herstellung von 3-dimensional strukturierten Polymerschichten für die integrierte Optik
US6232046B1 (en) * 1995-08-30 2001-05-15 Deutsche Telekom Ag Process for improving the contrast in the structure of 3-dimensional surfaces
US6030754A (en) * 1996-02-05 2000-02-29 Texas Instruments Incorporated Photoresist removal without organic solvent following ashing operation
JPH10154712A (ja) * 1996-11-25 1998-06-09 Fujitsu Ltd 半導体装置の製造方法
US6297167B1 (en) * 1997-09-05 2001-10-02 Advanced Micro Devices, Inc. In-situ etch of multiple layers during formation of local interconnects
JP3603296B2 (ja) * 1997-11-11 2004-12-22 ソニー株式会社 半導体装置の製造方法
US5849639A (en) * 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
TW436876B (en) * 1998-05-29 2001-05-28 Winbond Electronics Corp Method and device for removing mobile ions in a wafer
US6613681B1 (en) * 1998-08-28 2003-09-02 Micron Technology, Inc. Method of removing etch residues
US6325861B1 (en) 1998-09-18 2001-12-04 Applied Materials, Inc. Method for etching and cleaning a substrate
US6472335B1 (en) * 1998-10-19 2002-10-29 Taiwan Semiconductor Manufacturing Company Methods of adhesion promoter between low-K layer and underlying insulating layer
US6599829B2 (en) * 1998-11-25 2003-07-29 Texas Instruments Incorporated Method for photoresist strip, sidewall polymer removal and passivation for aluminum metallization
TW399264B (en) * 1998-11-27 2000-07-21 United Microelectronics Corp Method for reducing the fluorine content on metal pad surface
US6734120B1 (en) * 1999-02-19 2004-05-11 Axcelis Technologies, Inc. Method of photoresist ash residue removal
EP1032026B1 (en) * 1999-02-19 2008-09-17 Axcelis Technologies, Inc. Method of photoresist ash residue removal
US6379574B1 (en) * 1999-05-03 2002-04-30 Applied Materials, Inc. Integrated post-etch treatment for a dielectric etch process
JP4108228B2 (ja) * 1999-07-15 2008-06-25 富士通株式会社 半導体装置の製造方法
DE19935131B4 (de) * 1999-07-27 2006-01-26 Infineon Technologies Ag Verfahren zur Beseitigung von Redepositionen von einem Wafer
US6207570B1 (en) 1999-08-20 2001-03-27 Lucent Technologies, Inc. Method of manufacturing integrated circuit devices
US6218085B1 (en) 1999-09-21 2001-04-17 Lucent Technologies Inc. Process for photoresist rework to avoid sodium incorporation
US6431182B1 (en) * 1999-10-27 2002-08-13 Advanced Micro Devices, Inc. Plasma treatment for polymer removal after via etch
US6727185B1 (en) * 1999-11-29 2004-04-27 Texas Instruments Incorporated Dry process for post oxide etch residue removal
US6852636B1 (en) 1999-12-27 2005-02-08 Lam Research Corporation Insitu post etch process to remove remaining photoresist and residual sidewall passivation
US6423479B1 (en) 2000-01-31 2002-07-23 Advanced Micro Devices, Inc. Cleaning carbon contamination on mask using gaseous phase
KR20010085722A (ko) * 2000-02-29 2001-09-07 추후제출 반도체 물질상에서의 선택적인 레이저 어닐
US6500356B2 (en) * 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
US20030010354A1 (en) 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US6190062B1 (en) 2000-04-26 2001-02-20 Advanced Micro Devices, Inc. Cleaning chamber built into SEM for plasma or gaseous phase cleaning
US6526996B1 (en) * 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
US6472274B1 (en) * 2000-06-29 2002-10-29 International Business Machines Corporation MOSFET with self-aligned channel edge implant and method
US6440864B1 (en) 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6566269B1 (en) 2000-07-14 2003-05-20 Lucent Technologies Inc. Removal of post etch residuals on wafer surface
US6559062B1 (en) 2000-11-15 2003-05-06 Agere Systems, Inc. Method for avoiding notching in a semiconductor interconnect during a metal etching step
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6843258B2 (en) * 2000-12-19 2005-01-18 Applied Materials, Inc. On-site cleaning gas generation for process chamber cleaning
US6777344B2 (en) * 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
KR100500932B1 (ko) * 2001-09-28 2005-07-14 주식회사 하이닉스반도체 비아 콘택 식각 후의 감광막 제거 및 건식 세정 방법
US20040151656A1 (en) * 2001-11-26 2004-08-05 Siegele Stephen H. Modular molecular halogen gas generation system
US20030121796A1 (en) * 2001-11-26 2003-07-03 Siegele Stephen H Generation and distribution of molecular fluorine within a fabrication facility
US20040037768A1 (en) * 2001-11-26 2004-02-26 Robert Jackson Method and system for on-site generation and distribution of a process gas
US6680164B2 (en) 2001-11-30 2004-01-20 Applied Materials Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
US6955177B1 (en) * 2001-12-07 2005-10-18 Novellus Systems, Inc. Methods for post polysilicon etch photoresist and polymer removal with minimal gate oxide loss
US6846747B2 (en) 2002-04-09 2005-01-25 Unaxis Usa Inc. Method for etching vias
US20070066076A1 (en) * 2005-09-19 2007-03-22 Bailey Joel B Substrate processing method and apparatus using a combustion flame
US6709917B2 (en) 2002-05-13 2004-03-23 International Business Machines Corporation Method to increase the etch rate and depth in high aspect ratio structure
US20030228755A1 (en) * 2002-06-07 2003-12-11 Esry Thomas Craig Method for metal patterning and improved linewidth control
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
JP3940385B2 (ja) * 2002-12-19 2007-07-04 株式会社神戸製鋼所 表示デバイスおよびその製法
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
KR100680944B1 (ko) * 2003-05-27 2007-02-08 주식회사 하이닉스반도체 반도체 소자의 제조방법
US20050158667A1 (en) * 2004-01-20 2005-07-21 Applied Materials, Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
US20060051965A1 (en) * 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
KR100606902B1 (ko) * 2004-12-24 2006-08-01 동부일렉트로닉스 주식회사 씨모스 이미지 센서의 제조 방법
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7413924B2 (en) * 2005-10-31 2008-08-19 Motorola, Inc. Plasma etch process for defining catalyst pads on nanoemissive displays
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
JP5072531B2 (ja) * 2007-10-24 2012-11-14 東京エレクトロン株式会社 プラズマエッチング方法及び記憶媒体
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR20140047917A (ko) * 2012-10-15 2014-04-23 삼성전자주식회사 반도체 장치의 제조 방법
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR20160119329A (ko) * 2015-04-02 2016-10-13 삼성전자주식회사 반도체 소자의 미세패턴 형성방법
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
JP6726610B2 (ja) 2016-12-13 2020-07-22 東京エレクトロン株式会社 エッチング方法及び基板処理システム
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113054068B (zh) * 2019-12-27 2022-04-05 山东浪潮华光光电子股份有限公司 一种砷化镓基发光二极管粗化后取管芯的方法
US20220336615A1 (en) * 2021-04-16 2022-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
FR3142034A1 (fr) * 2022-11-14 2024-05-17 Stmicroelectronics International N.V. Procédé de fabrication d'un condensateur

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US5228950A (en) * 1990-12-04 1993-07-20 Applied Materials, Inc. Dry process for removal of undesirable oxide and/or silicon residues from semiconductor wafer after processing
JPH05109673A (ja) * 1991-10-21 1993-04-30 Seiko Epson Corp 半導体装置の製造方法
EP0542481A3 (en) * 1991-11-12 1993-11-10 American Telephone & Telegraph Method for reducing dielectric contamination in integrated circuits
US5382316A (en) * 1993-10-29 1995-01-17 Applied Materials, Inc. Process for simultaneous removal of photoresist and polysilicon/polycide etch residues from an integrated circuit structure
GB2285141B (en) * 1993-12-23 1998-03-11 Motorola Ltd Method of removing photo resist
KR0124636B1 (ko) * 1994-04-13 1997-12-10 문정환 포토레지스트 제거방법
US5514247A (en) * 1994-07-08 1996-05-07 Applied Materials, Inc. Process for plasma etching of vias
US5674357A (en) * 1995-08-30 1997-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor substrate cleaning process
US5824604A (en) * 1996-01-23 1998-10-20 Mattson Technology, Inc. Hydrocarbon-enhanced dry stripping of photoresist
US5670019A (en) * 1996-02-26 1997-09-23 Taiwan Semiconductor Manufacturing Company Ltd. Removal process for tungsten etchback precipitates
US5882489A (en) * 1996-04-26 1999-03-16 Ulvac Technologies, Inc. Processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
US5849639A (en) * 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants

Also Published As

Publication number Publication date
US6046115A (en) 2000-04-04
KR100347648B1 (ko) 2002-10-25
US5849639A (en) 1998-12-15
JPH11260785A (ja) 1999-09-24
KR19990045590A (ko) 1999-06-25

Similar Documents

Publication Publication Date Title
TW396432B (en) Method for removing etching residues and contaminants
EP0585936B1 (en) Metal selective removal process
US6984585B2 (en) Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
US6692903B2 (en) Substrate cleaning apparatus and method
US6559049B2 (en) All dual damascene oxide etch process steps in one confined plasma chamber
JP2015216360A (ja) 不揮発性金属材料をエッチングする方法
JP2005525706A (ja) 二酸化炭素中でマイクロエレクトロニクス基板をエッチング洗浄するための方法及び組成物
JP2007502543A (ja) プラズマアッシング方法
US6184134B1 (en) Dry process for cleaning residues/polymers after metal etch
JP2012023385A (ja) 残存フォトレジスト及び残留側壁パッシベーションを除去する、その場でのポストエッチング工程
TWI393997B (zh) 用於蝕刻基板上之低k介電層的方法、半導體裝置以及用於在低k介電層中形成特徵的設備
US6325861B1 (en) Method for etching and cleaning a substrate
KR19990083236A (ko) Al/Cu 금속라인상에서 포스트-RIE 폴리머를 제거하는 방법
JP2005051236A (ja) フルオロカーボンエッチングプラズマ中における次亜フッ素酸塩、フルオロペルオキシド及び(又は)フルオロトリオキシドの酸化剤としての使用
JPWO2008114616A1 (ja) 洗浄用組成物、半導体素子の製造方法
US6162733A (en) Method for removing contaminants from integrated circuits
TW480619B (en) Cleaning method for dual damascene manufacture process
Hattori Non-aqueous cleaning challenges for preventing damage to fragile nano-structures: A review
EP2025775A1 (en) Photon induced cleaning of a reaction chamber
JP3894747B2 (ja) 非クロロフルオロカーボンであるフッ素化学物質を用いて異方性プラズマエッチングを行う方法
US6635565B2 (en) Method of cleaning a dual damascene structure
US20050233590A1 (en) Waferless automatic cleaning after barrier removal
JP2004517470A (ja) バイア形成工程において発生するエッチング残渣を除去する方法
US11319513B2 (en) Non-aqueous tungsten compatible metal nitride selective etchants and cleaners
CN112201615B (zh) 半导体器件的焊盘制造方法及半导体器件制造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees