JPH11260785A - フォトレジスト材料とエッチング残留物の除去方法 - Google Patents

フォトレジスト材料とエッチング残留物の除去方法

Info

Publication number
JPH11260785A
JPH11260785A JP10333151A JP33315198A JPH11260785A JP H11260785 A JPH11260785 A JP H11260785A JP 10333151 A JP10333151 A JP 10333151A JP 33315198 A JP33315198 A JP 33315198A JP H11260785 A JPH11260785 A JP H11260785A
Authority
JP
Japan
Prior art keywords
mixture
substrate
sccm
oxygen
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10333151A
Other languages
English (en)
Inventor
Simon John Molloy
ジョン モロイ シモン
Daniel Joseph Vitkavage
ジョセフ ヴィットカヴェイジ ダニエル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nokia of America Corp
Original Assignee
Lucent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lucent Technologies Inc filed Critical Lucent Technologies Inc
Publication of JPH11260785A publication Critical patent/JPH11260785A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 集積回路デバイスに接点用ホールまたはビア
ホールを形成する際に発生するフォトレジスト層とそれ
らの残留物およびその他汚染物を除去する方法を提供す
る。 【解決手段】 ガスプラズマ処理を用いて、フォトレジ
スト層を除去しエッチング残留物の組成を変化させて残
留物を水に溶けやすく(水溶性に)し、脱イオン水で洗
浄することが可能となる。エッチングされたビアホール
あるいは接点ホールを有する基板を反応容器内に配置
し、そしてこの基板にある特性のガスの混合物をあて
て、その後この基板を脱イオン水で洗浄する。この本発
明のプロセスは、100℃以下の温度で実行される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、フォトレジストと
エッチング残留物等の汚染物を除去できるガスプラズマ
プロセスの方法に関し、特に集積回路デバイス内にビア
ホール(または接点用ホール)をエッチングして形成す
る際に生成された汚染物を除去する方法に関する。
【0002】
【従来の技術】半導体集積回路は、垂直方向に重ねた金
属製の相互接続層とそれらの間に配置された誘電体材料
層を含む。微少電子回路が集積されるにつれて、また垂
直方向に積層された金属製相互接続層の数が増加するに
つれて、回路に使用できる表面積は減少する。例えば1
cm2の表面積を有するチップは、ダイ上のすべての回
路要素を利用するために、十〜数百の高密度の相互接続
層を有している。かくして、これらの相互接続層を結合
する方法を改善することが必要である。
【0003】相互接続層間の電気的接続は、それらを形
成する際に誘電体材料層内に形成された接点用ホール
(またはビアホール)により行われている。接点用ホー
ルは、金属層を半導体基板に電気的に接続する管路であ
り、一方、ビアホールは、隣接するあるいは離間した2
つの金属製相互接続層を電気的に接続する管路である。
一般的にこの接点用ホールとビアホールは、エッチング
により形成される。そしてこのエッチングは高温と反応
性用材を用いて、フォトレジスト層を除去したり他の残
留物および移動イオンの汚染物を除去している。しかし
これらの方法については、欠点がある。
【0004】例えば、図1,2は、接点用ホールとビア
ホールを具備する集積回路を製造する代表的なプロセス
を示すデバイスの断面図である。図1のAには、活性領
域12として示されている複数のトランジスタまたはダ
イオードがその上に形成された半導体基板10を示す。
第1誘電体層14が半導体基板10の上に堆積される。
通常この半導体基板10は、二酸化シリコン(Si
2)または、a−Ta2 5、a−TiOxまたは、x−
(Ba、Sr)TiO3ような他の誘電体材料製の薄い
フィルムからなる。例えば、窒化シリコンからなるエッ
チストップ層16が、第1誘電体層14の上に堆積され
る。接点用ホール(ビアホール)18(図1のC,D)
が図1のAのデバイスに形成され、半導体基板10の活
性領域12と接触する。
【0005】接点用ホール18を形成するために、デバ
イスに対し行われるエッチングプロセスは、フォトレジ
ストマスクとプラズマRIEプロセスと反応性ガス(例
えば、CHF3またはSF6)を用いるドライエッチング
プロセスである。次に、図1Bを参照すると、フォトレ
ジストマスクを用いて、エッチストップ層16の選択さ
れた領域の上にフォトレジスト層20を堆積する。エッ
チストップ層16と第1誘電体層14のこの露出した領
域は、反応性エッチング材料を用いて制御しながらエッ
チングされて、活性領域12を露出させて、接点用ホー
ル18を形成する(図1C)。しかし、図1Cを参照す
ると図1Dの構造体を得るためには、フォトレジスト層
20を除去する必要がある。このフォトレジスト層20
の除去は、高温(例えば250℃)でO2を含有したプ
ラズマを用いて行われる。
【0006】フォトレジストを除去するための高温は、
製造プロセスに欠点をもたらしてしまう。その理由は、
高温は接点用ホールまたはビアホールの底部の材料(す
なわちこれらの材料は、シリコン、窒化チタン、アルミ
等を含有する)の酸化を引き起こしてしまうからであ
る。このフォトレジストの形成プロセスにより、移動イ
オン汚染物(すなわちNa+、Cl-、フッ素種、あるい
は他のイオン有機化合物)がウェハー(二酸化シリコン
SiO2製)の表面内に侵入してしまう。従ってこれら
の汚染物は除去しなければならない。その理由は、これ
らの汚染物は、電子デバイスに対し結晶欠陥を引き起こ
し、酸化物の破壊電圧および素子の性能あるいは歩留ま
りを低下させてしまうからである。これらの汚染物を除
去するために方向性酸化物エッチング(例えば、ドライ
プラズマプロセスあるいは、CF4/O2またはNF3
Heのガス混合物を用いて)が行われる。他の方法(例
えば、HF酸溶液)を用いて洗浄することもできる。い
ずれの場合にも、フォトレジストの除去プロセスにおい
て加えられる高温により、酸化の問題あるいは移動イオ
ンの汚染の問題が引き起こされ、これらが製品の歩留ま
りおよび信頼性に悪影響を及ぼしさらにこれらを除去す
るための余分のプロセスを必要とする。
【0007】さらにまた、フォトレジスト層20を除去
した後、例えば、エッチストップ層16の表面上にフォ
トレジストの残留物が残り、そして接点用ホール18に
おいてはエッチング残留物がその表面または側壁に付着
している。これらの残留物は、チタンおよびアルミ含有
のフィルム(不揮発性のエッチング生成物)からなり、
これらは接点用ホールまたはビアホールの側壁に付着す
る。かくして、フォトレジストが除かれた後この構造物
を洗浄する必要がある。このような洗浄は、例えばH2
SO4/H22のような溶液、あるいはEKC−265
(フィドロキルアミン、2−(2アミノエトキシ)(エ
タノール、カテコール:cathechol)、アルカリ緩衝剤
を含む溶剤)と、ACT−CMI(ジメチルアセタミド
とジエタノールアミンの溶液)として市販されている溶
剤とを用いて行われる。さらに酸化物のエッチングある
いは洗浄ステップがその後行われ、移動イオン汚染物お
よび酸化層を除去する。
【0008】このフォトレジストとエッチングプロセス
は、集積回路デバイスの製造が継続されている間、ビア
ホールを形成しそしてビアホールが形成されると次に洗
浄するプロセスが繰り返される。例えば、図2Aは、図
1Dの接点用ホール18が、金属製相互接続構造体26
により充填され、活性領域12に対し電気的接続を与え
ている。金属製相互接続構造体26の上には保護層28
と誘電体層30が堆積されている。フォトレジスト層3
1は、誘電体層30の選択された領域の上に堆積され、
そしてプラズマエッチングプロセスを行って図2Bに示
す露出した領域にビアホール32を形成する。図2Bの
フォトレジスト層31をその後除去して、図2Cの構造
体を提供する。前述したようにフォトレジスト層31は
高温で除去され、これにより移動イオン汚染が発生す
る。洗浄溶液を用いてエッチングまたはフォトレジスト
残留物を誘電体層30およびビアホール32の側壁ある
いは上部表面から取り除く。その後さらに酸化物のエッ
チングまたは洗浄ステップを適応して、移動イオン汚染
の問題を処理している。このプロセスはさらに層が形成
される際に継続して行われ、その結果、多くのビアホー
ルがエッチングで形成され、その上に複数の相互接続領
域が形成されさらにその後洗浄するステップが行われ
る。
【0009】上記のエッチングプロセスは、複数の相互
接構造を有する多層の集積回路デバイスの製造について
は利点があるが、フォトレジストの除去と残留物の除去
プロセスの点では欠点がある。フォトレジストの除去プ
ロセスにおいて、高温を用いることは基板内に移動イオ
ンが取り込まれるという潜在的な問題を発生させるため
に実行は不利であり、そのためさらに余分のエッチング
あるいは洗浄プロセスが必要とされる。残留物を除去す
る際に用いられる溶剤は、その堆積および集積回路デバ
イスの腐食といった潜在的な問題を引き起こす。集積回
路デバイスが集積されデバイスの表面が小さくなると、
ビアホールの直径も同様に小さくなりこれにより、溶剤
がビアホールに取り込まれる可能性が増し、さらに腐食
の可能性を増加させる。また各洗浄ステップは処理時間
を増加させ、特に積層構造体が形成される際には各余分
の洗浄ステップは、プロセスの処理時間を大幅に増加さ
せる。反応性溶液を使用することにより廃棄物の処理お
よび人体の健康に対しても問題を起こしてしまう。
【0010】
【発明が解決しようとする課題】従って本発明の目的
は、集積回路デバイスに接点用ホールまたはビアホール
を形成する際に発生するフォトレジスト層とそれらの残
留物およびその他汚染物を除去する新たな方法を提供す
る。
【0011】
【課題を解決するための手段】本発明によれば、集積回
路デバイスにビアホールおよび/または接点用ホールを
形成する際に、ガスプラズマ処理を用いて、フォトレジ
スト層を除去しエッチング残留物の組成を変化させて残
留物を水に溶けやすく(水溶性に)し、脱イオン水で洗
浄することが可能となる。エッチングされたビアホール
あるいは接点ホールを有する基板を反応容器内に配置
し、そしてこの基板に請求項2に記載したガスの混合物
をあてて、その後この基板を脱イオン水で洗浄する。こ
の本発明のプロセスは、100℃以下の温度で実行され
る。好ましいガス混合物は請求項6に記載したものであ
る。さらに本発明の請求項4に記載した特徴を有する。
【0012】
【発明の実施の形態】本発明は、集積回路デバイスにビ
アホールおよび/または接点ホールを形成する際に発生
するフォトレジスト層を除去し、レジスト残留物を取り
除くために、低温(100℃以下)のガスプラズマプロ
セスを用いている。このプロセスにおいては、ガスプラ
ズマがフォトレジスト層の除去と残留物の除去の両方を
行い、かつこの残留物の組成を水に溶けやすくし、基板
を脱イオン水で洗浄できるようにする。本発明のプロセ
スは反応性の洗浄溶剤を使用せず、そのためそれに関連
する問題を回避できる。その結果半導体デバイスの腐
食、歩留まり、信頼性、人間の健康等に対する影響を改
善している。このプロセスにおいて低温でよいことは移
動イオン汚染の問題を回避できる。また、本発明の方法
は従来のフォトレジスト層と残留物の除去に比較して単
純であり、そのため処理時間と処理の効率および製品の
歩留まりを向上させている。
【0013】例えば、図3には、本発明のステップを表
すブロック図が示されている。同図から分かるように、
本発明のステップの数は少なく、そのため、相互接続層
とビアホールと接点用ホールを具備する集積回路を製造
する際の製造時間を大幅に短縮することができる。第1
ステップ(図3のブロック1)は、ビアホール(あるい
は接点ホール)のエッチングを実行することであり、こ
れは反応性ガス(例:CHF3またはSF6の反応性の混
合ガス)を用いた公知の方法である。ここで用いられる
エッチング方法は本発明に特有なものではなくどのよう
な種類の接点用ホールあるいはビアホール(例えば垂直
のビアホールあるいは傾斜したビアホール)も形成され
る。このエッチングプロセスには除去されるフォトレジ
スト層の使用が含まれる。
【0014】次のステップ(図3のブロック2)は、基
板を適当な反応容器(すなわちガスプラズマを発生する
真空チェンバー)内に配置する。この時点の基板は図1
のCまたは図2のBに示される。エッチングで形成され
たビアホールおよび接点用ホール(接点用ホール18、
ビアホール32)とフォトレジスト層(フォトレジスト
層20、フォトレジスト層31)を有する。この反応容
器は、Applied Materials,Inc社から市販されている、
遠隔プラズマソース(リモートプラズマソース:RP
S)反応容器である。これは当業者には公知である。他
の種類の反応容器、例えば下流方向プラズマ容器、高密
度(誘導結合された)反応容器、トライオード、あるい
は他の二重パワーの反応容器、高パワープラズマ反応容
器、低パワー(RIE)プラズマ反容器とを用いること
ができる。この反応容器はウェハーにかかるバイアス電
圧が低い状態で動作させなければならない。このことは
ウェハー表面へのイオン衝突に際しては、最大エネルギ
ーが50eV以下であることを意味する。すなわち、ガ
スプラズマプロセスにおけるイオン照射はできるだけ弱
くして行わなければならない。RPS反応容器が100
0〜1500Wのパワーで動作するのが好ましい。
【0015】次のステップ(図3のブロック3)は基板
へのバイアス電圧を低くしてプラズマを発生し、これに
より、酸素、窒素、フッ素、ハイドロフルオロカーボ
ン、フッ化メタン、アミンガスからなるグループから選
択された混合ガスを、低いバイアス電圧をかけたウェハ
ーの表面に接触させる。好ましいガス混合物は、酸素と
窒素とフルオロメタンの混合物、酸素と窒素とテトラフ
ルオロメタンの混合物、酸素とトリフルオロアミンとテ
トラフルオロメタンの混合物である。さらにまた、この
ウェハーは、(a)150〜250sccmO2と100〜
200sccmN2と、150〜250sccmCH3Fとを含む
ガス混合物、(b)800〜1000sccmO2と50〜
200sccmN2と10〜30sccmCF4とを含むガス混合
物、(c)900〜1100sccmO2と20〜40sccm
NF3とを含むガス混合物、(d)700〜900sccm
2と20〜40sccmNF3と150〜250sccmH2
(蒸気)を含むガス混合物を用いてエッチングするのが
好ましい(単位sccmは、標準の圧力と温度における1分
間あたりの標準の立方cmを表す)。後者の混合物中の
2O(蒸気)はプラズマ中に付加される蒸気であり、
後続のH2Oの液体洗浄のものではない。特に、(a)
200sccmO2と150sccmN2と200sccmCH3Fと
からなるガス混合物、(b)970sccmO2と100scc
mN2と20sccmCF 4とからなるガス混合物、(c)1
000sccmO2と30sccmNF3とからなるガス混合物、
(d)800sccmO2と30sccmNF3と200sccmH2
O(蒸気)からなるガス混合物を使用するのが好まし
い。ここでまたH2Oの蒸気は、H2Oの液体洗浄用のも
のではない。
【0016】これらのガスが、高速でフォトレジスト2
5、37を除去しかつエッチングプロセスから生じた残
留物の組成を変化させ、そして残留物を水に溶けやすく
して、H2O洗浄で洗浄できるようにする。このプロセ
スは、100℃以下の温度でさらに好ましくは40〜9
0℃の温度で実行され、そして10〜90秒の間イオン
照射を行う。
【0017】最後にH2O洗浄(図3のブロック4)を
行い、この時点で、水に溶けやすくなった残留物を除去
する。
【0018】
【実験例1】図2Aに示すように、光リソグラフプロセ
スを用いて誘電体層30の選択された領域にフォトレジ
スト層31をパターン化する。誘電体層30の選択され
た領域を、RIEプラズマプロセスと反応性エッチング
材を用いて制御しながらエッチングを行い、その後公知
の方法で図2Bの構造体を得る。図2Bの構造体を、Ap
plied Materials 社製のRPS反応容器内に配置する。
反応容器の圧力は1.50Torrである。基板特にビアホ
ールの側壁を酸素と窒素とハイドロフルオロカーボンガ
スからなる混合ガス(その組成は、200sccmO2と、
150sccmN2と、200sccmCH3F)に基板を、10
00Wのエネルギをかけながら約60秒間さらす。その
温度は全期間を通して100℃以下に維持する。このガ
スにさらすことにより残留物の組成を変化させそれらを
水に溶けやすくする。次に、反応容器の圧力を0.7To
rrまで下げ1000sccmO2と100sccmN2と20sccm
CF4の混合ガスを導入する。この混合ガスを基板に1
400Wのエネルギをかけながら約30秒間基板の表面
にさらす。これによりフォトレジスト層(図2Bのフォ
トレジスト層31)を除去する。この時点で基板を反応
容器容器から取り出しそれを脱イオンしたH2Oでもっ
て洗浄するのがよい。フォトレジストの純度のような条
件によっては、移動Na+イオンを除去するために、基
板を反応容器内に戻してさらに処理するのが好ましい。
この場合の反応容器の圧力は、1.00Torrで230sc
cmO2と、60sccmNF3と、400sccmCF4からなる
ガス混合物を基板に1400Wのエネルギをかけながら
約15秒間さらすのがよい。
【図面の簡単な説明】
【図1】集積回路デバイスに接点用ホール(ビアホー
ル)を形成する、各ステップを表し、A、B、C、D
は、第1、第2、第3、第4ステップをそれぞれ表す
図。
【図2】集積回路デバイスに接点用ホール(ビアホー
ル)を形成する、各ステップを表し、A、B、Cは、第
5、第6、第7ステップをそれぞれ表す図。
【図3】本発明の方法のステップを表すブロック図。
【符号の説明】
10 半導体基板 12 活性領域 14 第1誘電体層 16 エッチストップ層 18 接点用ホール(ビアホール) 20 フォトレジスト層 26 金属製相互接続構造体 28 保護層 30 誘電体層 31 フォトレジスト層 32 ビアホール
───────────────────────────────────────────────────── フロントページの続き (71)出願人 596077259 600 Mountain Avenue, Murray Hill, New Je rsey 07974−0636U.S.A. (72)発明者 ダニエル ジョセフ ヴィットカヴェイジ アメリカ合衆国,32821 フロリダ,オー ランド,バンナー コート 11428,アパ ートメント 3106

Claims (17)

    【特許請求の範囲】
  1. 【請求項1】(a)フォトレジスト層とエッチング残留
    物を有するエッチングされた基板を用意するステップ
    と、 (b)前記基板の表面上に、ガスプラズマを当てるため
    に前記基板を反応容器内に配置するステップと、 (c)前記フォトレジスト層を除去し残留物が水溶性と
    なるようにその組成を変化させるためにガス混合物を用
    いて前記基板の表面をエッチングするステップと、 このエッチングステップは、100℃以下の温度に基板
    を保持して行い、 (d)前記エッチングした後の基板を脱イオン水で洗浄
    するステップと、からなることを特徴とするフォトレジ
    スト材料とエッチング残留物の除去方法。
  2. 【請求項2】 前記ガスは、酸素と窒素とフッ素とフッ
    化水素カーボンとフッ化メタンとアミンガスからなるグ
    ループから選択されることを特徴とする請求項1記載の
    方法。
  3. 【請求項3】 前記エッチングされた基板は、集積回路
    デバイスの相互接続層を結合するビアホールおよび/ま
    たは接点用ホールを有することを特徴とする請求項1記
    載の方法。
  4. 【請求項4】 前記反応容器は、1500W以下で動作
    する下流方向あるいは遠隔プラズマ反応容器であること
    を特徴とする請求項1記載の方法。
  5. 【請求項5】 前記(c)のステップは、50eV以下
    のエネルギーレベルで、前記基板表面にイオン衝撃を与
    えて行うことを特徴とする請求項1記載の方法。
  6. 【請求項6】 前記ガスの混合物は、(a)酸素と窒素
    とフルオロメタンとの混合物、(b)酸素と窒素とテト
    ラフルオロメタンとの混合物、(c)酸素とトリフルオ
    ロアミンとテトラフルオロメタンとの混合物、(d)酸
    素とトリフルオロアミンとの混合物、(e)酸素とトリ
    フルオロアミンとH2O(蒸気)との混合物のグループ
    から選択されることを特徴とする請求項1記載の方法。
  7. 【請求項7】 前記ガスの混合物は、(a)150〜2
    50sccmO2と100〜200sccmN2と150〜250
    sccmCH3Fとからなる混合物、(b)800〜100
    0sccmO2と50〜200sccmN2と10〜30sccmCF
    4とからなる混合物、(c)900〜1100sccmO2
    20〜40sccmNF3とからなる混合物、(d)700
    〜900sccmO2と20〜40sccmNF3と150〜25
    0sccmH2O(蒸気)とからなる混合物からなるグルー
    プから選択されることを特徴とする請求項1記載の方
    法。
  8. 【請求項8】 前記(c)のステップは、 (c1)200sccmO2と150sccmN2と200sccmC
    3Fからなるガス混合物を、前記基板に約60秒間で
    1000Wで1.0Torrの圧力でさらすステップと、 (c2)1000sccmO2と100sccmN2と20sccmC
    4からなるガス混合物を、前記基板に約30秒間で1
    400Wで0.7Torrの圧力でさらすステップと、 (c3)230sccmO2と60sccmNF3と400sccmC
    4からなるガス混合物を、前記基板に約15秒間で1
    400Wで1.0Torrの圧力でさらすステップとを有す
    ることを特徴とする請求項7記載の方法。
  9. 【請求項9】 集積回路デバイスの相互接続層を結合す
    るのに用いられる、基板内に形成されたビアホールおよ
    び/または接点用ホールを形成するプロセスにおけるフ
    ォトレジストとエッチング残留物を除去する方法におい
    て、 フォトレジスト層は、基板表面の選択した領域に形成さ
    れかつ一部を残し反応性エッチング材が塗布されて前記
    基板表面の露出部分に、ビアホールおよび/または接点
    ホールを形成し、 (a)前記基板の表面上にガスプラズマを当てるために
    前記基板を反応容器内に配置するステップと、 (b)前記フォトレジスト層を除去し、残留物が水溶性
    となるように、その組成を変化させるために、ガス混合
    物を用いて前記基板の表面をエッチングするステップ
    と、 このエッチングステップは、100℃以下の温度に基板
    を保持して行い、 (c)前記エッチングされた基板を脱イオン水で洗浄す
    るステップと、からなることを特徴とするフォトレジス
    ト材料とエッチング残留物の除去方法。
  10. 【請求項10】 垂直壁または傾斜壁を有するビアホー
    ルが基板にエッチングで形成されることを特徴とする請
    求項9記載の方法。
  11. 【請求項11】 前記ガスは、酸素と窒素とフッ素とフ
    ッ化水素カーボンとフッ化メタンとアミンガスからなる
    グループから選択されることを特徴とする請求項9記載
    の方法。
  12. 【請求項12】 前記反応容器は、1500W以下で動
    作する下流方向あるいは遠隔プラズマ反応容器であるこ
    とを特徴とする請求項9記載の方法。
  13. 【請求項13】 前記(b)のステップは、50eV以
    下のエネルギーレベルで、前記基板表面にイオン衝撃を
    与えて行うことを特徴とする請求項9記載の方法。
  14. 【請求項14】 前記ガスの混合物は、(a)酸素と窒
    素とフルオロメタンとの混合物、(b)酸素と窒素とテ
    トラフルオロメタンとの混合物、(c)酸素とトリフル
    オロアミンとテトラフルオロメタンとの混合物、(d)
    酸素とトリフルオロアミンとの混合物、(e)酸素とト
    リフルオロアミンとH2O(蒸気)との混合物からなる
    グループから選択されたことを特徴とする請求項9記載
    の方法。
  15. 【請求項15】 前記ガスの混合物は、(a)150〜
    250sccmO2と100〜200sccmN2と150〜25
    0sccmCH3Fとの混合物、(b)800〜1000scc
    mO2と50〜200sccmN2と10〜30sccmCF4との
    混合物、(c)900〜1100sccmO2と20〜40s
    ccmNF3との混合物、(d)700〜900sccmO2
    20〜40sccmNF3と150〜250sccmH2O(蒸
    気)との混合物からなるグループから選択されることを
    特徴とする請求項9記載の方法。
  16. 【請求項16】 前記(b)のステップは、 (b1)200sccmO2と、150sccmN2と、200sc
    cmCH3Fからなるガス混合物を、前記基板に、約60
    秒間で1000Wで1.0Torrの圧力でさらすステップ
    と、 (b2)1000sccmO2と、100sccmN2と、20sc
    cmCF4からなるガス混合物を、前記基板に、約30秒
    間で1400Wで0.7Torrの圧力でさらすステップを
    有することを特徴とする請求項9記載の方法。
  17. 【請求項17】(b3)230sccmO2と、60sccmN
    3と、400sccmCF4からなるガス混合物を、前記基
    板に約15秒間で1400Wで1.0Torrの圧力でさら
    すステップをさらに有すること特徴とする請求項16記
    載の方法。
JP10333151A 1997-11-26 1998-11-24 フォトレジスト材料とエッチング残留物の除去方法 Pending JPH11260785A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/979,297 US5849639A (en) 1997-11-26 1997-11-26 Method for removing etching residues and contaminants
US08/979297 1997-11-26

Publications (1)

Publication Number Publication Date
JPH11260785A true JPH11260785A (ja) 1999-09-24

Family

ID=25526825

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10333151A Pending JPH11260785A (ja) 1997-11-26 1998-11-24 フォトレジスト材料とエッチング残留物の除去方法

Country Status (4)

Country Link
US (2) US5849639A (ja)
JP (1) JPH11260785A (ja)
KR (1) KR100347648B1 (ja)
TW (1) TW396432B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180068305A (ko) 2016-12-13 2018-06-21 도쿄엘렉트론가부시키가이샤 에칭 방법 및 기판 처리 시스템

Families Citing this family (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19630705A1 (de) 1995-08-30 1997-03-20 Deutsche Telekom Ag Verfahren zur Herstellung von 3-dimensional strukturierten Polymerschichten für die integrierte Optik
JP2001519040A (ja) * 1995-08-30 2001-10-16 ドイッチェ テレコム アーゲー 三次元表面の構造化におけるコントラストを向上させる方法
US6030754A (en) * 1996-02-05 2000-02-29 Texas Instruments Incorporated Photoresist removal without organic solvent following ashing operation
JPH10154712A (ja) * 1996-11-25 1998-06-09 Fujitsu Ltd 半導体装置の製造方法
US6297167B1 (en) * 1997-09-05 2001-10-02 Advanced Micro Devices, Inc. In-situ etch of multiple layers during formation of local interconnects
JP3603296B2 (ja) * 1997-11-11 2004-12-22 ソニー株式会社 半導体装置の製造方法
US5849639A (en) * 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
TW436876B (en) * 1998-05-29 2001-05-28 Winbond Electronics Corp Method and device for removing mobile ions in a wafer
US6613681B1 (en) * 1998-08-28 2003-09-02 Micron Technology, Inc. Method of removing etch residues
US6325861B1 (en) 1998-09-18 2001-12-04 Applied Materials, Inc. Method for etching and cleaning a substrate
US6472335B1 (en) * 1998-10-19 2002-10-29 Taiwan Semiconductor Manufacturing Company Methods of adhesion promoter between low-K layer and underlying insulating layer
US6599829B2 (en) * 1998-11-25 2003-07-29 Texas Instruments Incorporated Method for photoresist strip, sidewall polymer removal and passivation for aluminum metallization
TW399264B (en) * 1998-11-27 2000-07-21 United Microelectronics Corp Method for reducing the fluorine content on metal pad surface
US6734120B1 (en) * 1999-02-19 2004-05-11 Axcelis Technologies, Inc. Method of photoresist ash residue removal
EP1032026B1 (en) * 1999-02-19 2008-09-17 Axcelis Technologies, Inc. Method of photoresist ash residue removal
US6379574B1 (en) * 1999-05-03 2002-04-30 Applied Materials, Inc. Integrated post-etch treatment for a dielectric etch process
JP4108228B2 (ja) * 1999-07-15 2008-06-25 富士通株式会社 半導体装置の製造方法
DE19935131B4 (de) * 1999-07-27 2006-01-26 Infineon Technologies Ag Verfahren zur Beseitigung von Redepositionen von einem Wafer
US6207570B1 (en) 1999-08-20 2001-03-27 Lucent Technologies, Inc. Method of manufacturing integrated circuit devices
US6218085B1 (en) 1999-09-21 2001-04-17 Lucent Technologies Inc. Process for photoresist rework to avoid sodium incorporation
US6431182B1 (en) * 1999-10-27 2002-08-13 Advanced Micro Devices, Inc. Plasma treatment for polymer removal after via etch
US6727185B1 (en) * 1999-11-29 2004-04-27 Texas Instruments Incorporated Dry process for post oxide etch residue removal
US6852636B1 (en) 1999-12-27 2005-02-08 Lam Research Corporation Insitu post etch process to remove remaining photoresist and residual sidewall passivation
US6423479B1 (en) 2000-01-31 2002-07-23 Advanced Micro Devices, Inc. Cleaning carbon contamination on mask using gaseous phase
EP1139409A3 (en) * 2000-02-29 2003-01-02 Agere Systems Guardian Corporation Selective laser anneal on semiconductor material
US20030010354A1 (en) 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
US6500356B2 (en) * 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US6190062B1 (en) 2000-04-26 2001-02-20 Advanced Micro Devices, Inc. Cleaning chamber built into SEM for plasma or gaseous phase cleaning
US6526996B1 (en) * 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
US6472274B1 (en) * 2000-06-29 2002-10-29 International Business Machines Corporation MOSFET with self-aligned channel edge implant and method
US6440864B1 (en) 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6566269B1 (en) 2000-07-14 2003-05-20 Lucent Technologies Inc. Removal of post etch residuals on wafer surface
US6559062B1 (en) 2000-11-15 2003-05-06 Agere Systems, Inc. Method for avoiding notching in a semiconductor interconnect during a metal etching step
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6843258B2 (en) * 2000-12-19 2005-01-18 Applied Materials, Inc. On-site cleaning gas generation for process chamber cleaning
US6777344B2 (en) * 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
KR100500932B1 (ko) * 2001-09-28 2005-07-14 주식회사 하이닉스반도체 비아 콘택 식각 후의 감광막 제거 및 건식 세정 방법
US20030121796A1 (en) * 2001-11-26 2003-07-03 Siegele Stephen H Generation and distribution of molecular fluorine within a fabrication facility
US20040037768A1 (en) * 2001-11-26 2004-02-26 Robert Jackson Method and system for on-site generation and distribution of a process gas
US20040151656A1 (en) * 2001-11-26 2004-08-05 Siegele Stephen H. Modular molecular halogen gas generation system
US6680164B2 (en) 2001-11-30 2004-01-20 Applied Materials Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
US6955177B1 (en) * 2001-12-07 2005-10-18 Novellus Systems, Inc. Methods for post polysilicon etch photoresist and polymer removal with minimal gate oxide loss
US6846747B2 (en) 2002-04-09 2005-01-25 Unaxis Usa Inc. Method for etching vias
US20070066076A1 (en) * 2005-09-19 2007-03-22 Bailey Joel B Substrate processing method and apparatus using a combustion flame
US6709917B2 (en) 2002-05-13 2004-03-23 International Business Machines Corporation Method to increase the etch rate and depth in high aspect ratio structure
US20030228755A1 (en) * 2002-06-07 2003-12-11 Esry Thomas Craig Method for metal patterning and improved linewidth control
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
JP3940385B2 (ja) * 2002-12-19 2007-07-04 株式会社神戸製鋼所 表示デバイスおよびその製法
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
KR100680944B1 (ko) * 2003-05-27 2007-02-08 주식회사 하이닉스반도체 반도체 소자의 제조방법
US20050158667A1 (en) * 2004-01-20 2005-07-21 Applied Materials, Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
US20060051965A1 (en) * 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
KR100606902B1 (ko) * 2004-12-24 2006-08-01 동부일렉트로닉스 주식회사 씨모스 이미지 센서의 제조 방법
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7413924B2 (en) * 2005-10-31 2008-08-19 Motorola, Inc. Plasma etch process for defining catalyst pads on nanoemissive displays
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
JP5072531B2 (ja) * 2007-10-24 2012-11-14 東京エレクトロン株式会社 プラズマエッチング方法及び記憶媒体
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR20140047917A (ko) * 2012-10-15 2014-04-23 삼성전자주식회사 반도체 장치의 제조 방법
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR20160119329A (ko) * 2015-04-02 2016-10-13 삼성전자주식회사 반도체 소자의 미세패턴 형성방법
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10304668B2 (en) 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113054068B (zh) * 2019-12-27 2022-04-05 山东浪潮华光光电子股份有限公司 一种砷化镓基发光二极管粗化后取管芯的方法
US20220336615A1 (en) * 2021-04-16 2022-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
FR3142034A1 (fr) * 2022-11-14 2024-05-17 Stmicroelectronics International N.V. Procédé de fabrication d'un condensateur

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US5228950A (en) * 1990-12-04 1993-07-20 Applied Materials, Inc. Dry process for removal of undesirable oxide and/or silicon residues from semiconductor wafer after processing
JPH05109673A (ja) * 1991-10-21 1993-04-30 Seiko Epson Corp 半導体装置の製造方法
EP0542481A3 (en) * 1991-11-12 1993-11-10 American Telephone & Telegraph Method for reducing dielectric contamination in integrated circuits
US5382316A (en) * 1993-10-29 1995-01-17 Applied Materials, Inc. Process for simultaneous removal of photoresist and polysilicon/polycide etch residues from an integrated circuit structure
GB2285141B (en) * 1993-12-23 1998-03-11 Motorola Ltd Method of removing photo resist
KR0124636B1 (ko) * 1994-04-13 1997-12-10 문정환 포토레지스트 제거방법
US5514247A (en) * 1994-07-08 1996-05-07 Applied Materials, Inc. Process for plasma etching of vias
US5674357A (en) * 1995-08-30 1997-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor substrate cleaning process
US5824604A (en) * 1996-01-23 1998-10-20 Mattson Technology, Inc. Hydrocarbon-enhanced dry stripping of photoresist
US5670019A (en) * 1996-02-26 1997-09-23 Taiwan Semiconductor Manufacturing Company Ltd. Removal process for tungsten etchback precipitates
US5882489A (en) * 1996-04-26 1999-03-16 Ulvac Technologies, Inc. Processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
US5849639A (en) * 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180068305A (ko) 2016-12-13 2018-06-21 도쿄엘렉트론가부시키가이샤 에칭 방법 및 기판 처리 시스템
US10734243B2 (en) 2016-12-13 2020-08-04 Tokyo Electron Limited Etching method and substrate processing system

Also Published As

Publication number Publication date
US6046115A (en) 2000-04-04
KR19990045590A (ko) 1999-06-25
US5849639A (en) 1998-12-15
KR100347648B1 (ko) 2002-10-25
TW396432B (en) 2000-07-01

Similar Documents

Publication Publication Date Title
JPH11260785A (ja) フォトレジスト材料とエッチング残留物の除去方法
US7192878B2 (en) Method for removing post-etch residue from wafer surface
US8058181B1 (en) Method for post-etch cleans
US6559049B2 (en) All dual damascene oxide etch process steps in one confined plasma chamber
JPH09251989A (ja) 水素ガスを用いた集積回路のプラズマ洗浄方法
JPH0383335A (ja) エッチング方法
US6184134B1 (en) Dry process for cleaning residues/polymers after metal etch
JPH08153710A (ja) 半導体装置の製造方法
JP2003158181A (ja) 半導体素子の製造方法
JPH09102483A (ja) 半導体基板上にシリコン材料の上部構造体を形成する製造方法
US6566269B1 (en) Removal of post etch residuals on wafer surface
JP2724165B2 (ja) 有機化合物膜の除去方法及び除去装置
KR20030010754A (ko) 플라즈마 반응 이온 에칭 폴리머 제거 방법
JP2006148122A (ja) 半導体基板上の金属構造から残留物を除去するための方法
JP2004517470A (ja) バイア形成工程において発生するエッチング残渣を除去する方法
JPH10178014A (ja) 半導体装置の製造方法
US6214739B1 (en) Method of metal etching with in-situ plasma cleaning
JP2983356B2 (ja) 半導体素子の製造方法
JP4408830B2 (ja) 半導体装置の製造方法
US7055532B2 (en) Method to remove fluorine residue from bond pads
US20050090113A1 (en) Method for removing photoresist after etching the metal layer
JP2001237228A (ja) 基板処理方法および基板処理装置ならびにデバイス製造方法
US6339019B1 (en) Method of manufacturing semiconductor device having reduced connection failure between wiring layers
KR100332647B1 (ko) 반도체소자의콘택홀형성방법
JPH09270420A (ja) 半導体装置の製造方法