TW293924B - - Google Patents

Download PDF

Info

Publication number
TW293924B
TW293924B TW084110497A TW84110497A TW293924B TW 293924 B TW293924 B TW 293924B TW 084110497 A TW084110497 A TW 084110497A TW 84110497 A TW84110497 A TW 84110497A TW 293924 B TW293924 B TW 293924B
Authority
TW
Taiwan
Prior art keywords
substrate
conveying
moving mechanism
conveying member
support
Prior art date
Application number
TW084110497A
Other languages
English (en)
Original Assignee
Tokyo Electron Co Ltd
Tel Kyushu Kk
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Co Ltd, Tel Kyushu Kk filed Critical Tokyo Electron Co Ltd
Application granted granted Critical
Publication of TW293924B publication Critical patent/TW293924B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/11Vacuum

Description

A7 B7 經濟部中央標準局員工消費合作社印製 五、發明説明(1) 〔產業上之利用領域〕 本發明係關於一種具備實行在複數處理部位間之被處 理基板之交換之運送體的基板運送裝置。 〔以往之技術〕 在半導體裝置之製造中,有在作爲被處理基板之半導 體晶片表面使用光刻術技術縮小電路型樣而轉印在光致抗 蝕劑,將此顯像處理之光刻術過程。在這種光刻術過程之 各處理部,爲了運入、運出半導體晶片,使用具備鑷子或 臂等之運送構件的運送裝置。 在使用於該光刻術過程之運送裝置中,例如,將未處 理之晶片藉由運送構件從牽轉具取出,運送洗淨裝置,將 以洗淨裝置所洗淨之晶片再以運送體接收之後運送至下一 處理部。 /然而,在運送構件,若在洗淨前支持晶片之部分與在 洗淨後支持晶片之部分相同時,則在洗淨前附著於晶片背 面之異物在運送時附著於運送體,而在運送洗淨後之晶片 時再附著於經洗淨之晶片背面因而產生污染> 解決上述問題之技術有提案於日本專利公報特開平6 —8 7 5 3 1號。在該特開平6-8 7 5 3 1號公報,揭 示①設置呈同心圓狀之圓弧形階段狀構造之支持面,在複 數晶片移動路徑之每一路徑靈活使用互相不同之支持面的 ~ 技術,②使用向徑向移動之活動片或轉動之轉動接觸片等 之附加的活動部,與上述①同樣地在複數晶片移動路徑之 I- 装------訂------{ (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度逋用中國國家梂準(CNS ) A4規格(210X 297公釐) 經濟部中央標準局員工消費合作社印裝 293924 A7 _B7_五、發明説明(2 ) 每一路徑靈活使用互相不同之支持面的技術,③使用複數 之運送構件,在複數晶片移動路徑之每一路徑靈活使用互 相不同之運送構件的技術。 然而,上述①之設置呈同心圓狀之圓弧形階段狀構造 之支持面之構造者,因在傾斜晶片之狀態下支持,因此運 送構件之高度方向的厚度變大,故用於收容於牽轉具之晶 片之交接所用的空間變大,因而無法有效利用空間。又, 因在傾斜晶片之狀態下支持,因此在對位時,首先須將晶 片成爲水平狀態,而在對位須费時,而且在晶片賦與振動 ,而在晶片之緣部賦與損傷之虞。 又,使用上述②之向徑向移動之活動片或轉動之旋轉 接觸片者,因成爲須要活動部之驅動機構,因此構造成爲 複雜,而且藉由活動部之移動而產生粒子,有該粒子附著 於晶片之虞。 又,使用上述③之複數運送體者,裝置成爲大型,因 此無法有效利用空間。 〔發明之概要〕 本發明之目的,係在於提供一種具有簡單之構造,不 設置附加之活動部,具有隨著被處理基板之複數移動路徑 ,可靈活使用以相同平面上支持被運送基板之互相不同之 支持位置之運送構件的基板運送裝置。 依照本發明之一種觀點,提供一種基板運送裝置,係 在複數處理位置實行被運送.基板之接收及交接,而在這些 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 293924 經濟部中央標準局員工消费合作社印製 A7 B7五、發明説明(3 ) 處理位置間運送被運送基板之運送裝置,其特徵爲具備: 裝置本體,及 可移動地設於裝置本體且支持被運送基板並予以運送 的運送構件:該運送構件係在相同平面內之互相不同之位 置支持上述基板的複數支持部,及 用於實行對於上述運送構件之上述基板之接收交接動 作而移動運送構件的移動機構,及 將上述運送構件之位置切換在位於上述各支持部實行 上述基板之基板接收及交接所用之複數位置之間的切換機 構等。 依照本發明之其他觀點,提供一種基板運送裝置,係 在複數處理位置實行被運送基板之接收及交接,而在這些 處理位置間運送被運送基板之運送裝置,其特徴爲具備: 裝置本體,及 可移動地設於裝置本體且支持被運送基板並予以運送 的運送構件;該運送構件係在相同平面內之互相不同之位 置支持上述基板的複數支持部,及 用於實行對於上述運送構件之上述基板之接收交接動 作而移動運送構件的移動機構,及 在上述運送構件之上述複數支持部吸附保持基板所用 的吸附保持機構,及 將上述運送構件之位®切換在位於上述各支持部實行 -上述基板之基板接收及交接所用之複數位置之間的切換機 構等。 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度逍用中國國家搞準(CNS ) A4規格(210X297公釐) 經濟部中夬標準局員工消費合作社印製 A7 ____B7_ 五、發明説明(4) 依照本發明之另一觀點,提供一種基板運送裝置,係 在複數處理位s實行被運送基板之接收及交接,而在這些 處理位置間運送被運送基板之運送裝置,其特徴爲具備: 裝置本體,及 可移動地設於裝置本體且支持被運送基板並予以運送 的複數運送構件:這些各該運送構件係在相同平面內之互 相不同之位置支持上述基板的複數支持部,及 用於實行對於上述複數運送構件之上述基板之接收交 接動作而移動運送構件的移動機構,及 將上述複數運送構件之位置切換在位於上述各支持部 實行上述基板之基板接收及交接所用之複數位置之間的切 換機構等。 依照本發明,在複數處理位置實行被運送基板之接收 及交接,而在上述處理位置間運送被運送基板時,因使用 具有在互相不同位置支持上述基板之複數支持部的運送構 件,因此對每一不同之運送路徑可Μ活使用支持部。例如 ,可將運送處理前之被運送基板時之支持部,及運送處理 後之被運送基板時之支持部形成不同。因此,可防止附著 於處理前之基板背面之異物再附著於處理後之基板背面。 又,因複數支持部設於相同平面內,因此在水平地支持基 板時,可將支持基板之狀態的髙度方向之厚度儘量形成較 小,可有效利用空間。又,因不必變更被移送基板之姿勢 ,因此容易實行被運送基板之對位,也不會在被運送基板 賦與振動。 本紙張尺度適用中國國家橾隼(CNS ) Α4規格(210 X 297公釐) (裝------訂------^ (請先閱讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 293924 A7 ____B7_五、發明説明(5) 〔實施例〕 以下參照所附圖式,詳述本發明之較理想實施態樣。 第1態樣 第1圖係表示本發明之第1態樣之基板運送裝置的斜 視圖。 該基板運送裝置1係具備:用於運送半導體晶片W所 用之運送構件的鑷子3,及用於切換鑷子之位置所用的切 換移動機構4,及具備將鑷子3向垂直(Z )方向移動之 驅動機構與向水平方向(Θ方向)旋轉之旋轉機構的第1 驅動部5,及具有將鑷子3對牽轉具8實行進退移動(X 方向)之驅動機構的第2驅動部6 ,及將這些運送裝置主 要部向y方向移動的移動機構7。 鑷子3係如第2圖所示,形成在矩形狀基端部3 a之 前端側經由推拔部3 c具有比基端部3 a橫寬狹窄之矩形 狀前端部3 b的平面板形狀,例如由氟樹脂或聚醚醚酮( PEEK)等之具有耐熱及耐蝕性之構件所形成。 如第2圖及第3圖所τκ,在該鎌子3之上面,具有將 半導體晶片支持在第1位置所用之第1支持部2 a,2 b 及支持在第2位置所用的第2支持部2 c,2 d。這些支 持部2 a〜2 d係平面形狀成圓弧狀,而這些之中支持構 件2 a及2 c設在基端部3 a,支持部2 b,2 d設在前 端部3 b。支持部2 a與2 c ,及支持部2 b與2 d,係 分別對於二分割基端部3 a及前端部3 b之中心線〇設於 本紙張尺度適用中國國家橾準(CNS ) A4规格(210 X 297公釐)_ q _ ' (請先閱讀背面之注意事項再填寫本頁) 經濟部中夬標準局員工消費合作社印製 A7 ._B7五、發明説明(6) 兩側之例如左右對稱之位置。這些支持部2 a〜2 d係具 有晶片支持面,這些支持面係段部3 d之內側,且存在於 同一平面面內,半導體晶片支持在第1位置時,與支持在 第2位置時,使晶片形成水平地支持在同一平面。 第1支持部2a,2b與第2支持部2c,2d,係 形成當其中一方之支持部(例如支持部2 a,2 b )支持 晶片W時,在另一方之支持部(例如支持部2 c,2 d ) 晶片W未接觸之位置及長度。又,支持部2 a〜2 d的晶 片半徑方向之寬度,係設成對於形成在晶片W之電路型樣 不會產生障礙之範園內,例如5mm以內,較理想爲3 m m以內。 又在晶片W之外周部,設有用於對位所用凹口時,因 凹口之切除寬度係狹窄,因此支持面2 a,2 b之宽度, 長度係較短也可以,惟如第2圖所示,在晶片W設有定向 帶Wa時,因定向帶Wa之切除部係比凹口之切除寬度長 ,因此,增加支持部2 a,2 c之寬度長度可支持該定向 帶Wa部分。又,前端部3 b係也可形成與基端部3 a同 一之橫幅。 上述切換移動機構4係由例如可正逆旋轉之步進馬達 4 a,及繞掛於藉由該步進馬達4 a所驅動之驅動帶輪 4 b與從動帶輪4 c的同步皮帶4 d等所構成,在同步.皮 帶4 d連結有鑷子3之基端部3 a。藉由步進馬達4 a之 ~ 驅動,鑷子3向橫方向水平移動。藉由該切換移動機構4 ,鑷子3係切換在支持晶片W之支持位β,向牽轉具8運 (請先閱讀背面之注意事項再填寫本頁) 裝. 訂 本紙張尺度逋用中國國家橾準(CNS ) Α4規格(210 X 297公釐) 經濟部中央標準局員工消费合作社印製 A7 B7 五、發明説明(7) 入位置等之複數位置之間。 又,切換移動機構4係不一定須構成如上述之構造, 例如也可以使用滾珠螺旋機構。或是,藉由移動機構7之 移動切換移動鑷子3也可以。 上述第1驅動部5及第2驅動部6之各驅動機構,係 由例如滾珠螺旋機構,使用步進馬達與同步皮帶等皮帶機 構或使用缸筒等之直線運動機構(未予圖示)所構成。 移動機構7係具備:沿著Y方向引導鑷子3,切換移 動機構4,上述各驅動部等之運送裝置主要部所用的導軌 7 a,及具有扣合於該導軌7 a之直動軸承與驅動部的移 動部7 b。 又,切換移動機構4,第1及第2驅動部5,6,移 動機構7係藉由控制器C所控制。 使用如上所構成之基板運送裝置1,從牽轉具8接收 晶片W予以運送時,首先,藉由移動機7將切換移動機構 4之中心對準於牽轉具8之中心線。然後,驅動切換移動 機構4,使半導體晶片位在例如藉第1支持部2 a,2 b 所支持之第1位置時的該半導體晶片之中心線與牽轉具8 之中心線,位於與y方向直交之同一線上之位«爲止移動 鑷子3 (取出時偏位)。 之後,驅動第2驅動部6之進退驅動機構,向X方向 移動鑷子3,並將此插入在牽轉具8內所期望之晶片W下 -方。然後藉驅動第1驅動部5之垂直驅動機構俾上昇鑷子 3,並以鑷子3接收晶片W。此時,晶片W係支持在第1 本紙張尺度逋用中國國家揉準(CNS ) A4規格(210 X 297公釐)_ j _ ~ ^^1 n^i 1^1 I - - n^i <^—^1 - 1 m 一 (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製 A7 B7五、發明説明(8) 支持部2 a,2 b。然後,如第2圖及第4A圖所示,驅 動第2驅動部6之進退驅動機構使之後退,從牽轉具8內 取出晶片W,運送至處理部,例如洗淨處理部1 4。 擬將以洗淨處理部14所洗淨之經處理之晶片W運入 牽轉具8內時,以第2支持部2 c,2 d支持並接收經處 理之晶片W之後,在該狀態下將鑷子3移動至相對應於牽 轉具8之位置,使驅動切換移動機構4藉由第1支持部 2 c,2 d所支持之晶片W之中心線與牽轉具8之中心位 置,位於與y方向直交之同一線上之位置爲止移動鑷子3 (收容時之偏位)。之後,如第2圖第4B圖所示,驅動 第2驅動部6之進退移動機構而將鑷子3插入在牽轉具8 內之所期望之晶片收容位置,藉由垂直移動機構5之驅動 將鑷子3予以下降並將晶片W交給牽轉具8內之後,後退 鑷子3。 如上所述,因以第1支持部2 a,2 b接收未處理之 晶片W,運送至處理部,而以第2支持部2 c,2d接收 處理後之晶片W,並收容於牽轉具8內,因此可防止附著 於處理前之晶片W背面之異物再附著於經處理之晶片W之 背面。 又,也可以以第2支持部2 c,2 d支持並接收未處 理之晶片W,而以第1支持部2 a,2 b支持並接收經處 理之晶片W。 又,在上述態樣中,支持部2 a〜2 d之支持面存在 於同一平面內,第1位置與第2位置均在同一平面上之例 本紙張尺度適用中國國家標準(CNS )八4規格(210X297公釐)_ ^ . ^ί· I- I I 1^1 (^ϋ I— i .1— (請先閲讀背面之注意事項再填寫本頁) A7 B7 經濟部中央標準局貝工消费合作社印装 五、 發明説明 ( 9 1 子 加 以 說 明 9 惟 也 可 考 置 將 第 1 支 持 部 2 a 9 2 b 之 支 持 1 I 面 及 第 2 支 持 部 2 C , 2 d 之 支 持 面 形 成 在 不 同 高 度 之 平 1 1 面 上 〇 此 時 5 如 上 所 述 9 藉 將 支 持 面 形 成 不 同 而 可 防 止 對 1 請 I 晶 片 W 背 面 之 異 物 的 再 附 著 惟 藉 由 使 用 那 — 種 支 持 面 須 先 閱 1 1 讀 變 更 指 定 鑷 子 3 之 垂 直 移 動 高 度 而 在 運 送 控制 上 > 與 上 背 ώ 1 I 述 實 施 例 比 較 成 爲 不 利 0 < i 1 1 I 又 9 在 上 述 態 樣 中 作 爲 切 換 移 動 機 構 4 之 取 出 時 偏 事 項 再 1 1 位 9 收 容 時 偏 位 之 移 動 動 作 9 如 第 2 圖 所 示 > 對 於 以 中 心 填 寫 本 裝 I 線 〇 作 爲 中 心 偏 位 於 兩 側 之 動 作 加 以 說 明 9 惟 也 可 以 例 如 頁 1 1 取 出 時 將 偏 位 位 置 作 爲 原 點 位 置 9 在 該 位 置 實 行 取 出 動 作 1 | 9 而 在 收 容 時 僅 偏 位 相 加 上 述 態 樣 之 取 出 時 偏 位 與 收 容 時 ! I 偏 位 之 兩 偏 位 距 離 的 距 離 以 實 行 收 容 動 作 0 相 反 地 > 將 收 1 訂 I 容 時 偏 位 位 置 作 爲 原 點 位 置 也 可 以 0 1 1 1 第 2 態 樣 1 1 1 第 5 圖 係 表 示 本 發 明 的 第 態 樣 之 基 板 運 送 裝 置 的 斜 視 .-—V, | 圖 0 該 第 2 態 樣 係 爲 了 更 確 實 地 實 行 依 運 送 體 之 晶 片 W 之 1 J I 支 持 , 在 録 子 3 之 支 持 部 2 a 9 2 C 之 支 持 面 形 成 作 爲 吸 1 1 附 機 構 之 吸 r-- 保 持 用 之 小 孔 9 y 該 小 孔 經 由 設 於 鑷 子 3 之 1 1 通 路 1 0 9 連 接 於 眞 空 泵 1 0 a 之 情 形 0 如 此 9 因 在 支 持 1 部 2 a 9 2 C 設 置 吸 附 保 持 用 小 孔 9 9 並 以 第 1 支 持 部 - | 2 a 9 2 b 或 第 2 支 持 部 2 C 9 2 d 支 持 晶 片 W 9 而 且 藉 1 1 I 由 眞 空 泵 1 0 a 之 驅 動 所 形 成 之 負 壓 可 將 晶 片 W 吸 附 保 持 1 1 1 本紙張尺度適用中國國家橾準(〇灿)八4規格(2丨0/297公釐)_12 A7 B7 293924 五、發明説明(10) 在第1或第2支持部,而可確實施行晶片W之支持。 (請先閲讀背面之注意事項再填寫本頁) 此時,如第6圇及第7圖所示,在對於鑷子3之中心 線0位於兩側之例如左右對稱位g,可突出地設於各處理 部之載置台13上且可貫穿用於接收晶片W所用之3支支 持銷1 1地設置透孔2,可將鑷子3使用在與各處理部之 間的晶片W之交接用。 又,在該態樣中,在對於鑷子3之中心線Ο位於左右 對稱位置,設置3支支持銷1 1之貫穿用的透孔1 2,惟 並不一定透孔1 2係貫穿各支持銷1 1所用3個孔,也可 以作爲可同時貫穿3支的大徑之孔。 又,在該態樣,因其他部分係與上述第1態樣相同, 因此在同一部分附與同一記號,而省略其說明。 第3態樣 第8圖係表示本發明的第3態樣之基板運送裝置的斜 視圖。 經濟部中央標準局員工消費合作社印製 該第3態樣係將可Μ活使用在晶片W之處理部間的每 一移路徑上的支持部之數量作成更多之情形。亦即,將在 上述第1態樣與第2態樣所表示之鑷子3及切換移動機構 4多段(在此表示兩段之情形)且可向水平(β )方向旋 轉,而在各段之鑷子3,與上述同樣地,設置用於靈活使 用在晶片W之每一各移動路徑上所用的第1支持部2 a,-2 b及第2支持部2 c ,2 d之情形。 在該態樣中,鑷子3之支持部2 a,2 c ,與第2態 本;氏張尺度適用中國國家梯率(CNS ) A4規格(210X297公董)· . ~ ' A7 _ B7_ 五、發明説明(u) 樣同樣,形成有作爲吸附保持機構之吸附保持用之小孔9 ,在該小孔9,經由設於鑷子3之通路1 0,連接有眞空 泵1 0 a。又,在鑷子3,對於該中心線0位於左右對稱 位置,設有支持銷之筲穿用之透孔1 2。 又,在該第3態樣,因其他部分係與上述第1及第2 態樣相同,因此在同一部分附與同一記號,省略其說明。 如上述,由於將具有用於Μ活使用在晶片W之複數每 一各移動路徑所用之複數支持位置的鑷子3設S複數段, 可將複數枚之晶片W之處理前與處理後成爲在不同支持位 置可支持,因此,成爲可同時處理複數之晶片W,可提高 處理能率。 經濟部中央榡準局員工消費合作社印褽 (請先閱讀背面之注意事項再填寫本頁) 又,在該態樣中,對於設置兩段之鑷子3之情形加以 說明,惟視需要,設置三段以上任意之複數段也可以。又 ,對於在各段之鑷子設置吸附保持用之小孔9之情形加以 說明,惟並不一定在各段之鑷子3同樣地設置吸附保持用 之小孔9 ,例如僅在下段之鑷子3設置吸附保持用之小孔 9 ,而將上段之鑷子3與上述第1態樣同樣地形成,或是 與此相反也可以。 如上所構成之本發明的基板運送裝置,係除了可使用 作爲收容晶片W之牽轉具8 ,及與一個處理部之間的晶片 W之交接用之外,還可組裝於例如晶片之塗佈,顯影系統 加以使用。 以下,對於這種基板運送裝B所適用之晶片之塗佈, 顯像處理系統加以說明。 本紙張尺度適用中國國家橾準(仁阳)八4規格(210乂297公釐)_14· 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(θ 上述晶片之塗佈,顯像處理系統1 〇 〇係如第9圖所 示,在其一端側可並排地載置構成數容作爲被處理基板之 例如多數枚之未處理晶片的兩個牽轉具8 a,及收容經處 理之晶片W的兩個牽轉具8 b,在這些牽轉具8 a,8 b 之兩側部的其中一方(後側),載置收容曝光處理之障礙 時之晶片的拒收牽轉具8 c,又在另一方(正前側)具有 載置收容樣品用晶片之拾取牽轉具8 d的牽轉具站2 0 , 在該牽轉具站2 0之中央部設有用於實行晶片W之運入, 運出及晶片W之定位所用的與上述基板運送裝置1同樣地 構成的第1基板運送裝置1 A。如上述藉將牽轉具8 a〜 8 d載置於同一高度位置,可儘量地減少第1基板運送裝 置1 A之鑷子3 A的移動範圍,而且減小高度方向(Z方 向)之衝程,可實行快速之運送。 又,在塗佈,顯影處理1 0 0,在其中央部設有向其 長度方向設成可移動,而且具有從第1基板運送裝置1 A 之鑷子3 A交接晶片W之鑷子3 B的第2基板運送裝置 1 B,而在該第2基板運送裝置1 B之運送路P之兩側配 設有各種處理機構。又鑷子3 B係形成有作爲基板吸附機 構之眞空吸附用小孔。鑷子3 A及3 B之基本構成係與上 述之鑷子3同樣,均具有第1支持部2a,2b及第2支 持部2 c,2 d。 作爲上述處理機構,具體而言,在牽轉具站20側之 -側方,並設有作爲過程站2 1之例如刷洗淨晶片W所用的 倒刮削器2 2及藉由髙壓噴射水施以洗淨所用的高壓喷射 本紙張尺度適用中國國家梂準(CNS ) A4規格(210 X297公釐)_ 15 _ (請先閱讀背面之注意事項再填寫本頁) ‘裝· 訂 A7 ___B7 五、發明説明(l3) 洗淨機2 3,在其旁邊,重叠設有兩部加熱裝置2 4 a, 而且在第2基板運送裝置1 B之移送路P之相反側並設兩 部顯影裝置2 5。 又,在上述過程站2 1之側方,經由連續用單元2 6 設有作爲一過程站21A之將光致抗蝕劑塗佈於例如晶片 W前將此予以疏水化處理的黏著力處理裝置2 7,而在其 下方配有洗滌裝置2 8。在這些裝置2 7、2 8之側部以 兩列分別重曼配有兩個加熱裝置2 4B。 又,隔著第2基板運送裝置1 A之移送路而在這些加 熱裝置2或黏著力處理裝置2 7等之相反側並設有將光致 抗蝕劑塗佈在晶片W的兩台抗蝕劑塗佈裝置2 9。又,在 這些抗蝕劑塗佈裝置2 9之側面,設有經由介面單元3 〇 將所定之微細型樣曝光抗蝕劑膜所用的曝光裝置31等 3 1 〇 經濟部中央標準局員工消費合作社印製 在如上所構成之處理系統中,首先,以第1基板運送 裝置1 A之鑷子3 A的第1支持部2 a,2 b或第2支持 部2 c,2 d之任一支持部支持並運送被收容在拾取牽轉 具8 d的樣品用晶片。將該樣品用晶片交接於第2基板運 送裝置1 B之鑷子3 B後,運送至各處理部俾實行處理系 統之運轉測試。 之後,以第1基板運送裝置1 A之鑷子3 A的例如第 1支持部2 a,2 b支持並取出運送被收容於例如牽轉具-站2 0之牽轉具8 a內的處理前之一枚晶片W。將晶片W 交接於第2基板運送裝®1 B之鑷子3 B的第1支持部 本紙張尺度適用中國國家梯準(CNS ) A4規格(210 X 297公釐) I— ml i m (^i nn i In I (請先閏讀背面之注意事項再填寫本頁) 16 - A7 B7 經濟部中央標準局貝工消費合作社印製 五、 發明説明 ( 14) 1 2 a 9 2 b 之 後 , 運 送 至 刷 刮 削 器 2 2 9 以 鑷 子 3 B 之 例 1 | 如 第 2 支 持 部 2 C 9 2 d 接 收 洗 淨 後 之 晶 片 W > 以 下 同 樣 1 1 地 依 次 施 以 黏 著 力 處 理 > 冷 卻 後 9 藉 由 抗 蝕 劑 塗 佈 裝 置 1 蝕 劑 膜 請 1 2 9 塗 佈 抗 蝕 劑 9 而 且 除 去 晶 片 W 周 緣 部 之 抗 0 然 先 閱 1 後 > 實 行 加 熱 蒸 發 殘 留 在 抗 蝕 劑 中 之 溶 劑 的 事 先 烘 烤 處 理 讀 背 1 I 及 依 曝 光 裝 置 3 1 之 曝 光 f 經 顯 影 處 理 之 後 9 實 行加 熱 孓 I 1 1 I 蒸 發 殘 存 於 顯 影 後 之 光 致 抗 蝕 劑 之 顯 影 液 的 主 烘 烤 處 理 9 事 項 再 1 將 處 理 後 之 晶 片 W 從 第 2 基 板 運 送 裝 置 1 B 的 鑷 子 3 B 之 填 寫 本 裝 | 第 2 支 持 部 2 C 5 2 d 交 接 至 第 1 基 板 運 送 裝 置 1 A 的 鑷 頁 '·—^ 1 1 子 3 A 之 第 2 支 持 部 2 C 9 2 d 運 送 收 容 在 牽 轉 具 站 1 | 2 0 之 牽 轉 具 8 b 內 9 完 成 一 連 串 之 抗 蝕 劑 塗 佈 > 顯 像 處 1 I 理 0 1 訂 1 又 在 曝 光 處 理 而 產 生 障 礙 時 將 產 生 障 礙 之 晶 片 W 1 1 從 第 2 基 板 運 送 裝 置 1 B 的 攝 子 3 B 交 接 於 第 1 基 板 運 送 1 1 裝 置 1 A 的 鑷 子 3 A 之 後 收 容 於 拒 收 牽 轉 具 8 C 內 0 又 在 上 述 各 態 樣 係 對 於 被 處 理 基 板 爲 半 導 體 晶 片 I 之 情 形 加 以 說 明 5 惟 該 發 明 之 基 板 運 送 裝 S 9 係 對 於 半 導 1 :| I 體 晶 片 以 外 之 基 板 之 處 理 當 然 也 可 同 樣 地 使 用 0 1 ( nsn 圖 式 之 簡 單 說 明 1 1 第 1 圖 係 表 示 本 發 明 之 第 1 態 樣 之 基 板 運 送 裝 置 的 斜 1 | 視 圖 9 1 I 第 2 圖 係 表 示 依 第 1 圖 的 裝 置 之 運 送 構 件 的 半 導 髏 晶 1 1 I 片 之 交 接 狀 態 的 概 略 平 面 圖 9 1 1 1 本紙張尺度適用令國國家標準(CNS)A4規格(210X297公釐)_ A7 ____B7_ ___ 五、發明説明(15) 第3圖係表示依第2圖之III 一 III線的剖面圖, 第4 A圖及第4 B圖係表示在第1圖的裝置之半導體 斜 的 置 裝 送 ί- 遢 板 基 ,之 圖樣 面態 平 2 略第 概之 的明 作發 動本 接示 交表 及係 收圖 接 5 之第 片 晶 圖 視 斜 的 置 裝 送 匡 0 板 基 之 樣 態 2 第 之 明 發 本 示 表 係 圖 6 第 圖 視 斜 的 置 ,裝 圖送 —ο WwiU 面 遢 剖板 的基 線之 VII樣 I 態 仰 3 之第 圖之 6 明 第發 依本 示示 表表 係係 圖圖 7 8 第第 ί---r-----「‘裝-- (請先閱讀背面之注意事項再填寫本頁) 圖 視 體 導 半 之 用 適 所 置 裝 送 運。 板圖 基視 的斜 明 的 發統 本系 示影 表顯 係 , 圖佈 9 塗 第之 片 晶 訂 Λ 經濟部中央標準局員Η消費合作社印製 本紙張尺度遑用中國國家橾準(CNS ) Α4規格(210X 297公釐〉

Claims (1)

  1. 經濟部中央標準局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 1. 一種基板運送裝置,係在複數處理位置實行被運 送基板之接收及交接,而在這些處理位置間運送被運送基 板之運送裝置,其特徵爲具備: 裝置本體,及 可移動地設於裝置本體且支持被運送基板並予以運送 的運送構件;該運送構件係在相同平面內之互相不同之位 置支持上述基板的複數支持部,及 用於實行對於上述運送構件之上述基板之接收交接動 作而移動運送構件的移動機構,及 將上述運送構件之位置切換在位於上述各支持部實行 上述基板之基板接收及交接所用之複數位置之間的切換機 構等。 2 .如申請專利範圍第1項所述之基板運送裝置,其 中,上述運送構件係將基板水平地支持者。 3 .如申請專利範圍第2項之基板運送裝置,其中, 上述移動機構係具有:將上述運送構件對於上述本體施以 進退移動的進退移動機構,及將上述運送構件向垂直方向 移動的垂直移動機構者。 4.如申請專利範圍第3項所述之基板運送裝置,其 中,上述移動機構係又具有將上述運送構jf牛施以旋轉移動 的旋轉移動機構者。 5種基板運送裝置,係在複數處理位置實行被運 „ 送基板之接收及交接,而在這些處理位置間運送被運送基 板之運送裝S,其特徴爲具備: 本紙張尺度逋用中國國家橾準(CNS ) A4规格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 装· 訂 -19 - 經濟部中央標準局貝工消費合作社印製 ^3924 ll D8六、申請專利範圍 裝e本體,及 可移動地設於裝置本體且支持被運送基板並予以運送 的運送構件:該運送構件係在相同平面內之互相不同之位 置支持上述基板的複數支持部,及 用於實行對於上述運送構件之上述基板之接收交接動 作而移動運送構件的移動機構,及 在上述運送機構之上述複數支持部吸附保持基板所用 的吸附保持機構,及 將上述運送構件之位置切換在位於上述各支持部實行 上述基板之基板接收及交接所用之複數位置之間的切換機 構等。 6 .如申請專利範圍第5項所述之基板運送裝置,其 中,上述吸附保持機構係具有形成於上述各支持部之吸附 孔,及經由該吸附孔用於眞空吸引所用的泵者。 7.如申請專利範圍第5項所述之基板運送裝置,其 中,上述運送構件係將基板水平地支持者。 8 .如申請專利範圍第7項所述之基板運送裝置,其 中,上述移動機構係具有:將上述運送構件對於上述本體 施以進退移動的進退移動機構,及將上述運送構件向垂直 方向移動的垂直移動機構者。 9 .如申請專利範圍第8項所述之基板運送裝置,其 中,上述移動機構係又具有將上述運送構件施以旋轉移動__ 的旋轉移動機構者。 1 〇 —種基板運送裝》,係在複數處理位置實行被 (請先閱讀背面之注意事項再填寫本頁) 裝· 訂 本紙張尺度適用中國國家橾準(CNS ) A4規格(210X297公釐) -20 - 經濟部中央標準局員工消費合作社印製 六、申請專利範圍 運送基板之接收及交接,而在這些處理位置間運送被運送 基板之運送裝置,其特徴爲具備: 裝置本體,及 可移動地設於裝置本體且支持被運送基板並予以運送 的複數運送構件;這些各運送構件係在相同平面內之互相 不同之位置支持上述基板的複數支持部,及 用於實行對於上述複數運送構件之上述基板之接收交 接動作而移動運送構件的移動機構,及 將上述複數運送構件之位置切換在位於上述各支持部 實行上述基板之基板接收及交接所用之複數位置之間的切 換機構等。 1 1 .如申請專利範圍第1 0項所述之基板運送裝置 ,其中,上述運送構件係將基板水平地支持者。 1 2 .如申請專利範圍第1 1項所述之基板運送裝置 ,其中,上述複數運送構件係設成向垂直方向重曼者。 1 3 .如申請專利範圍第1 2項所述之基板運送裝置 ,其中,上述移動機構係具有:將上述運送構件對於上述 本體施以進退移動的進退移動機構,及將上述運送構件向 垂直方向移動的垂直移動機構者。 1 4.如申請專利範圔第3項所述之基板運送裝置, 其中,上述移動機構係又具有將上述運送構件施以旋轉移 動的旋轉移動機構者。 (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度逋用中國國家榡準(CNS ) A4現格(210X297公釐) 1\
TW084110497A 1994-10-07 1995-10-05 TW293924B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP06268054A JP3143770B2 (ja) 1994-10-07 1994-10-07 基板搬送装置

Publications (1)

Publication Number Publication Date
TW293924B true TW293924B (zh) 1996-12-21

Family

ID=17453248

Family Applications (1)

Application Number Title Priority Date Filing Date
TW084110497A TW293924B (zh) 1994-10-07 1995-10-05

Country Status (4)

Country Link
US (1) US5711646A (zh)
JP (1) JP3143770B2 (zh)
KR (1) KR100299114B1 (zh)
TW (1) TW293924B (zh)

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5957651A (en) * 1995-06-08 1999-09-28 Kokusai Electric Co., Ltd. Substrate carrying apparatus
US6743723B2 (en) 1995-09-14 2004-06-01 Canon Kabushiki Kaisha Method for fabricating semiconductor device
US5830272A (en) * 1995-11-07 1998-11-03 Sputtered Films, Inc. System for and method of providing a controlled deposition on wafers
TW317644B (zh) * 1996-01-26 1997-10-11 Tokyo Electron Co Ltd
US5980195A (en) * 1996-04-24 1999-11-09 Tokyo Electron, Ltd. Positioning apparatus for substrates to be processed
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US6752584B2 (en) 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US6921467B2 (en) * 1996-07-15 2005-07-26 Semitool, Inc. Processing tools, components of processing tools, and method of making and using same for electrochemical processing of microelectronic workpieces
US6749390B2 (en) 1997-12-15 2004-06-15 Semitool, Inc. Integrated tools with transfer devices for handling microelectronic workpieces
US6322119B1 (en) 1999-07-09 2001-11-27 Semitool, Inc. Robots for microelectronic workpiece handling
US6318951B1 (en) 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
US6749391B2 (en) 1996-07-15 2004-06-15 Semitool, Inc. Microelectronic workpiece transfer devices and methods of using such devices in the processing of microelectronic workpieces
US6059517A (en) * 1996-09-17 2000-05-09 Begin; Robert George End effector assembly for inclusion in a system for producing uniform deposits on a wafer
JP3225431B2 (ja) * 1996-12-25 2001-11-05 住友イートンノバ株式会社 イオン注入装置におけるウエハ搬送装置
US5984391A (en) * 1997-02-03 1999-11-16 Novellus Systems, Inc. Microfeature wafer handling apparatus and methods
US5955858A (en) * 1997-02-14 1999-09-21 Applied Materials, Inc. Mechanically clamping robot wrist
TW401582B (en) * 1997-05-15 2000-08-11 Tokyo Electorn Limtied Apparatus for and method of transferring substrates
US6139245A (en) * 1997-07-11 2000-10-31 Brooks Automation Inc. Robot arm relocation system
US6276731B1 (en) * 1997-07-15 2001-08-21 Kabushiki Kaisha Yaskawa Denki Wafer carrying fork
JPH1154588A (ja) * 1997-07-30 1999-02-26 Tokyo Electron Ltd 基板搬送装置およびそれを用いた基板処理装置
US6722834B1 (en) * 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
US6270306B1 (en) * 1998-01-14 2001-08-07 Applied Materials, Inc. Wafer aligner in center of front end frame of vacuum system
KR100625485B1 (ko) 1998-02-18 2006-09-20 어플라이드 머티어리얼스, 인코포레이티드 처리 시스템 내 웨이퍼 핸들러를 위한 엔드 이펙터
US6132165A (en) * 1998-02-23 2000-10-17 Applied Materials, Inc. Single drive, dual plane robot
TW593731B (en) * 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6085125A (en) * 1998-05-11 2000-07-04 Genmark Automation, Inc. Prealigner and planarity teaching station
TW410415B (en) * 1998-05-15 2000-11-01 Tokyo Electron Ltd Substrate carrying equipment and substrate processing equipment
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
KR20010074695A (ko) * 1998-07-11 2001-08-09 세미툴 인코포레이티드 마이크로일렉트릭 제품 취급용 로봇
US6454332B1 (en) * 1998-12-04 2002-09-24 Applied Materials, Inc. Apparatus and methods for handling a substrate
US6721508B1 (en) 1998-12-14 2004-04-13 Tellabs Operations Inc. Optical line terminal arrangement, apparatus and methods
US6322312B1 (en) 1999-03-18 2001-11-27 Applied Materials, Inc. Mechanical gripper for wafer handling robots
US7160421B2 (en) * 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7189318B2 (en) * 1999-04-13 2007-03-13 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6368475B1 (en) * 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US7585398B2 (en) * 1999-04-13 2009-09-08 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US7438788B2 (en) * 1999-04-13 2008-10-21 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
CN1296524C (zh) * 1999-04-13 2007-01-24 塞米用具公司 对工件进行电化学处理的处理容器、反应器和方法
EP1313662B1 (en) * 1999-05-04 2014-03-26 Ade Corporation Edge gripping end effector wafer handling apparatus
US6260894B1 (en) * 1999-05-28 2001-07-17 Applied Materials, Inc. Assembly for wafer handling system
WO2000078654A1 (en) * 1999-06-17 2000-12-28 Speedfam-Ipec Corporation Improved wafer handling apparatus
KR100319891B1 (ko) * 1999-06-29 2002-01-10 윤종용 웨이퍼용 열처리 방법
JP4230642B2 (ja) * 1999-07-08 2009-02-25 株式会社荏原製作所 基板搬送治具及び基板搬送装置
US6513848B1 (en) 1999-09-17 2003-02-04 Applied Materials, Inc. Hydraulically actuated wafer clamp
US20050183959A1 (en) * 2000-04-13 2005-08-25 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece
US6532403B2 (en) * 2000-04-21 2003-03-11 Microtool, Inc Robot alignment system and method
AU2001259504A1 (en) * 2000-05-24 2001-12-03 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6572321B1 (en) * 2000-10-05 2003-06-03 Applied Materials, Inc. Loader conveyor for substrate processing system
US6692219B2 (en) * 2000-11-29 2004-02-17 Tokyo Electron Limited Reduced edge contact wafer handling system and method of retrofitting and using same
US7334826B2 (en) * 2001-07-13 2008-02-26 Semitool, Inc. End-effectors for handling microelectronic wafers
US7281741B2 (en) * 2001-07-13 2007-10-16 Semitool, Inc. End-effectors for handling microelectronic workpieces
US6991710B2 (en) * 2002-02-22 2006-01-31 Semitool, Inc. Apparatus for manually and automatically processing microelectronic workpieces
US20030159921A1 (en) * 2002-02-22 2003-08-28 Randy Harris Apparatus with processing stations for manually and automatically processing microelectronic workpieces
US20060043750A1 (en) * 2004-07-09 2006-03-02 Paul Wirth End-effectors for handling microfeature workpieces
US20070014656A1 (en) * 2002-07-11 2007-01-18 Harris Randy A End-effectors and associated control and guidance systems and methods
US6976822B2 (en) * 2002-07-16 2005-12-20 Semitool, Inc. End-effectors and transfer devices for handling microelectronic workpieces
KR100479494B1 (ko) * 2002-09-18 2005-03-30 삼성전자주식회사 기판 반송 로봇
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
US20070020080A1 (en) * 2004-07-09 2007-01-25 Paul Wirth Transfer devices and methods for handling microfeature workpieces within an environment of a processing machine
US7411657B2 (en) 2004-11-17 2008-08-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060182561A1 (en) * 2005-02-02 2006-08-17 Macronix International Co., Ltd. Wafer transfer device and method thereof
US8182009B2 (en) * 2008-03-13 2012-05-22 Xyratex Technology, Ltd. End effector
JP5456287B2 (ja) * 2008-09-05 2014-03-26 東京エレクトロン株式会社 縦型熱処理装置
US8420554B2 (en) * 2010-05-03 2013-04-16 Memc Electronic Materials, Inc. Wafer support ring
JP2013198960A (ja) * 2012-03-26 2013-10-03 Disco Corp ロボットハンド
US9214375B2 (en) * 2012-07-10 2015-12-15 Lam Research Corporation End effector having multiple-position contact points
US9779977B2 (en) 2015-04-15 2017-10-03 Lam Research Corporation End effector assembly for clean/dirty substrate handling
KR20200078773A (ko) * 2018-12-21 2020-07-02 세메스 주식회사 반전 유닛 및 이를 가지는 기판 처리 장치

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4775281A (en) * 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US5007784A (en) * 1989-01-20 1991-04-16 Genmark Automation Dual end effector robotic arm
US5123804A (en) * 1990-06-15 1992-06-23 Tokyo Electron Sagami Limited Horizontal/vertical conversion handling apparatus
JPH0687531A (ja) * 1992-09-09 1994-03-29 Hitachi Ltd 受け渡し治具および装置

Also Published As

Publication number Publication date
JPH08111447A (ja) 1996-04-30
KR100299114B1 (ko) 2001-11-30
KR960015840A (ko) 1996-05-22
US5711646A (en) 1998-01-27
JP3143770B2 (ja) 2001-03-07

Similar Documents

Publication Publication Date Title
TW293924B (zh)
JP3850951B2 (ja) 基板搬送装置及び基板搬送方法
KR0177591B1 (ko) 웨이퍼형상 기판의 처리장치
TWI309223B (en) Substrate transfer apparatus
JP4744426B2 (ja) 基板処理装置および基板処理方法
JP2622046B2 (ja) 基板搬送装置
KR100643053B1 (ko) 기판 처리 장치
JP5284294B2 (ja) 現像処理方法、プログラム、コンピュータ記憶媒体及び現像処理システム
TW200843021A (en) Substrate processing apparatus
JP2010098125A (ja) 基板搬送装置及び基板搬送方法
WO2003092055A1 (fr) Appareil de traitement de substrat
JP3933524B2 (ja) 基板処理装置
JP3850952B2 (ja) 基板搬送装置及び基板搬送方法
JP3462405B2 (ja) 処理装置
JPH08153767A (ja) 基板処理装置
TW424286B (en) Assembling method of electronic component and the assembling apparatus
JPH09232405A (ja) 基板処理装置
JP2000135475A (ja) 基板処理装置
JP2006073835A (ja) 基板搬送装置およびそれを用いた基板処理装置
JPH07307319A (ja) 基板整列装置及び方法
JP3548403B2 (ja) 基板処理装置
JPH08139153A (ja) 枚葉式基板処理装置、基板搬送装置及びカセット
KR100706381B1 (ko) 기판반송장치
JP3766177B2 (ja) 基板処理装置および基板洗浄装置
JPH09270383A (ja) 基板搬送装置及び基板搬送方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees