TW202130857A - 用於增強性蝕刻與選擇性移除的硬遮罩膜的化學修飾 - Google Patents

用於增強性蝕刻與選擇性移除的硬遮罩膜的化學修飾 Download PDF

Info

Publication number
TW202130857A
TW202130857A TW109140435A TW109140435A TW202130857A TW 202130857 A TW202130857 A TW 202130857A TW 109140435 A TW109140435 A TW 109140435A TW 109140435 A TW109140435 A TW 109140435A TW 202130857 A TW202130857 A TW 202130857A
Authority
TW
Taiwan
Prior art keywords
carbon
hard mask
metal
layer
blended
Prior art date
Application number
TW109140435A
Other languages
English (en)
Other versions
TWI745171B (zh
Inventor
大衛 柯奈普
賽門 黃
傑佛瑞W 安瑟斯
菲利浦亞倫 克勞司
大衛 湯普森
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202130857A publication Critical patent/TW202130857A/zh
Application granted granted Critical
Publication of TWI745171B publication Critical patent/TWI745171B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/02Elements
    • C08K3/04Carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Nanotechnology (AREA)
  • Composite Materials (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

多個實施例包括處理硬遮罩的方法,該方法包括形成摻混碳硬遮罩,該摻混碳硬遮罩覆於下層上方。一實施例中,該摻混碳硬遮罩是以金屬碳填充物摻混。該實施例進一步包括圖案化該摻混碳硬遮罩且將該摻混碳硬遮罩之圖案轉移到該下層中。根據一實施例,該方法可進一步包括:從該摻混碳硬遮罩移除該金屬碳填充物的金屬成分,而形成多孔碳硬遮罩。之後,可移除該多孔碳硬遮罩。一實施例中,移除該金屬碳填充物的金屬成分可包括:使處理氣體流進腔室,而使該金屬碳填充物的金屬成分揮發。

Description

用於增強性蝕刻與選擇性移除的硬遮罩膜的化學修飾
本申請案主張於2016年10月1日申請之美國非臨時專利申請案15/283,400之權益,該美國非臨時專利申請案之名稱為「用於增強性蝕刻與選擇性移除的硬遮罩膜的化學修飾」,且其全文透過參考方式併入本文以供所有目的之用。
多個實施例關於用在半導體應用的光微影處理領域,尤其是關於一種硬遮罩膜,該硬遮罩膜可經化學修飾而容許高蝕刻選擇性及便於移除該硬遮罩。
硬遮罩用於半導體元件製造上的許多圖案化操作中。高品質的硬遮罩容許圖案以嚴密的尺寸控制轉移到下層中。為了達成嚴密的尺寸控制,該硬遮罩膜擁有在蝕刻製程期間對下層的高蝕刻選擇性。一種已用作為硬遮罩的材料是碳層。如在本文中所用,「碳層」或「碳硬遮罩」可指包括非晶碳或碳化物之一或多者的層。此類以碳為基礎的硬遮罩在半導體元件製造上特別實用,這是由於對含矽材料的高蝕刻選擇性所致。例如,以碳為基礎的硬遮罩具有對多晶矽、SiO2 、及Si3 N4 的高蝕刻選擇性。在尖端圖案化操作中,碳層可經摻混(alloyed)而進一步增強硬遮罩的蝕刻選擇性。例如,可添加金屬成分至碳硬遮罩以增加對下層的蝕刻選擇性。
一般而言,在不再需要硬遮罩之後,以氧電漿移除該硬遮罩(例如,灰化製程)。然而,摻混該碳硬遮罩增加以此製程移除該碳硬遮罩的難度。據此,增加的蝕刻選擇性伴隨著使該碳硬遮罩更難以移除的缺點。
多個實施例包括處理硬遮罩的方法,該方法包括形成摻混碳硬遮罩,該摻混碳硬遮罩覆於下層上方。一實施例中,該摻混碳硬遮罩是以金屬碳(metallic-carbon)填充物摻混。該實施例進一步包括圖案化該摻混碳硬遮罩且將該摻混碳硬遮罩之圖案轉移到該下層中。根據一實施例,該方法可進一步包括:從該摻混碳硬遮罩移除該金屬碳填充物的金屬成分,而形成多孔碳硬遮罩。之後,可移除該多孔碳硬遮罩。一實施例中,移除該金屬碳填充物的金屬成分可包括:使處理氣體流進腔室,而使該金屬碳填充物的金屬成分揮發。
額外的實施例可包括一種處理摻混碳層的方法,該方法包括:形成該摻混碳層,該摻混碳層是以金屬碳填充物摻混,且該金屬碳填充物是硼碳填充物。該實施例亦可包括:從該碳層移除該硼碳填充物的硼成分,而形成多孔碳層。一實施例中,該硼碳填充物是透過使處理氣體流進腔室而移除。一實施例中,該處理氣體使該硼碳填充物的硼成分揮發。一實施例中,該處理氣體是氯、溴、碘、氯化氫、溴化氫、碘化氫、亞硫醯氯、亞硫醯溴、一溴化碘、或硫醯氯。
根據各種實施例描述使用摻混碳硬遮罩的方法。在下文的敘述中,提出許多特定細節以提供對實施例的全盤瞭解。對發明所屬技術領域中具有通常知識者而言會明瞭,可無須這些特定細節而實行實施例。其他例子中,不詳細描述已知的態樣,以避免不必要地混淆了實施例。再者,應瞭解,所附的圖式中所示的各種實施例是說明性的示意圖,不必然按照比例尺繪製。
如上文所述,摻混碳硬遮罩提供勝於未摻混碳硬遮罩的增強的蝕刻選擇性。然而,目前在半導體元件製造中使用摻混碳硬遮罩層是受到限制的,這是因為當不再需要硬遮罩之後移除該硬遮罩的難度增加所致。就此而言,多個實施例包括摻混碳硬遮罩與圖案化製程,容許改善蝕刻選擇性且同時容許在不再需要硬遮罩後便於移除硬遮罩材料。
根據一實施例,摻混碳硬遮罩是可化學修飾的硬遮罩之遮罩材料。該摻混碳硬遮罩可經圖案化而具有圖案,該圖案隨後轉移到下層,這如本技術中已知。多個實施例可隨後實施用於化學修飾該硬遮罩材料而使得便於移除硬遮罩的製程。尤其,多個實施例包括化學修飾,該化學修飾實質上從該摻混碳硬遮罩移除摻混組分。一旦已移除該等摻混組分,可毫無困難地使用當前可得的處理技術移除該硬遮罩,諸如利用氧電漿蝕刻。據此,多個實施例容許由摻混碳硬遮罩提供的尖端圖案化製程所需要的改善蝕刻阻力,同時仍保有在不再需要該硬遮罩之後便於移除該硬遮罩的能力。
額外的多個實施例亦可利用第二遮罩層以選擇性修飾摻混碳硬遮罩。例如,第二遮罩可形成覆於該摻混碳硬遮罩上方。該第二遮罩可遮蔽摻混碳硬遮罩的多個部分隔離化學修飾製程。就此而言,後續的電漿蝕刻可僅移除該摻混碳硬遮罩的化學修飾的部分。
進一步的實施例可包括在已移除第一摻混組分而形成多孔碳層之後再度摻混該化學修飾的碳層。例如,該再度摻混可提供第二摻混組分,該第二摻混組分在該碳層已轉為多孔碳層之後提供期望的性質給該碳層。據此,多個實施例容許多孔碳層得以被修改而提供期望的材料性質,而可容許使用在超出半導體製造應用之外的應用中。
現在參考第1A圖至第1C圖與第2圖,根據一實施例顯示及描述化學修飾摻混碳層的製程。現在參考第2圖,製程260可開始於操作262,該操作262包括:形成摻混碳層120,該摻混碳層120包括以金屬碳填充物110摻混的碳層105。根據一實施例,此類摻混碳層120繪示於第1A圖中。
一實施例中,碳層105可包括非晶碳或碳化物之一或多者。一實施例中,該碳層105可包括碳與氫。例如,氫之原子百分比可為該碳層105的5%至50%之間。再者,摻混碳層120中的金屬碳填充物(即,摻混的組分)110之原子百分比可介於約5%至90%之間。一實施例中,金屬碳填充物110可均勻地分佈於整個碳層105中。所繪示的實施例中,該金屬碳填充物110如圖所示,為實質上分立的顆粒。然而,應瞭解在一些實施例中,金屬碳填充物110可重疊及/或形成金屬碳填充物110的連接網絡。例如,金屬碳填充物110的金屬部分可與碳形成鍵結、與氫形成鍵結、及/或與相鄰的金屬碳填充物110之其他金屬部分形成鍵結。
根據一實施例,該金屬碳填充物110可以是可利用化學修飾製程移除的材料,這會在下文中更詳細地描述。該金屬碳填充物110的金屬成分可以是任何適合的金屬。特定實施例中,該金屬成分可以是硼,而形成碳化硼(例如,B4 C)。額外的實施例可包括諸如(但不限於)下述金屬成分:W、V、Nb、Ta、Ti、Zr、Hf及Al。
在摻混碳層120已形成之後,該摻混碳層120隨後可用於圖案化下層。此類實施例中,該摻混碳層可稱為摻混碳硬遮罩。將會在下文中更詳細地描述該圖案化製程。在該圖案化已完成且不再需要該摻混碳層120之後,實施例包括,化學修飾該摻混碳層120,以移除該金屬碳填充物110之金屬部分,而形成多孔碳層122,如第2圖中的操作264所顯示。根據一實施例,此類多孔碳層122顯示於第1B圖中。如所繪示,已移除該金屬碳填充物110,而在碳層105中形成空隙112。額外的實施例可包括連接在一起而形成穿過多孔碳層122的空隙網絡的空隙112。空隙網絡可提供穿過碳層105的擴散通路,且助於移除金屬碳填充物的金屬成分及/或助於後續再度摻混的製程,這在下文中會更詳細地描述。再者,移除金屬碳填充物110之金屬部分不會實質減少多孔碳層122的厚度(相較於摻混碳層120之厚度)。隨著金屬碳填充物110之金屬部分移除,多孔碳硬遮罩122更容易移除(例如,透過灰化製程)。
根據一實施例,可利用化學修飾製程移除金屬碳填充物110之金屬部分。該化學修飾製程可包括將摻混碳硬遮罩120暴露至氣相蝕刻劑及鹵化劑,而使金屬碳填充物110之金屬部分揮發。一實施例中,該化學修飾製程使金屬碳填充物110之金屬成分揮發。額外實施例中,該化學修飾製程可使金屬碳填充物110之金屬成分及碳成分揮發。一實施例中,該鹵化劑包括氯、溴、碘、氯化氫、溴化氫、碘化氫、亞硫醯氯、亞硫醯溴、一溴化碘、或硫醯氯。碳化硼填充物110的特殊實施例中,可使用亞硫醯氯使該碳化硼填充物110的硼成分揮發。額外實施例中,可使用氯以使碳化硼填充物110的硼成分揮發。
一實施例中,可於處理腔室中將摻混碳層120暴露至化學修飾製程。該處理腔室可以是其中可流動處理氣體的任何適合的腔室。例如,該處理腔室可以是真空腔室,諸如電漿處理腔室。一實施例中,可在低於大氣壓的壓力實行該化學修飾製程。例如,可在約700托或更低之壓力實行該處理壓力。一實施例中,該處理壓力可為約250托或更低。一實施例中,該處理壓力可為約25托或更低。特定實施例中,該處理壓力可為約10托或更低。一實施例中,可在大氣壓實行該化學修飾製程,其中將鹵化劑引入已用諸如氮或氬之惰性氣體沖洗的腔室中。
特定實施例中,用於化學修飾製程中的處理氣體可以脈衝的方式流進腔室中。例如,處理氣體之脈衝可流進腔室中,之後跟著沖洗。處理氣體脈衝與沖洗的時間長度的比可約1:100或更低。一實施例中,處理氣體之脈衝可低於一秒,之後跟著數秒的沖洗。特定實施例中,處理氣體之每一脈衝可低於約0.25秒,且沖洗可為約5秒或更多。實質上從碳層120移除金屬碳填充物110之金屬部分所需的脈衝循環的數目可取決於摻混碳層120的厚度。一實施例中,從摻混碳層120移除金屬碳填充物110之金屬部分所需的循環的數目可隨著摻混碳層120的厚度增加而線性增加。例如,可使用介於50個至1000個之間的脈衝循環,以從介於約100埃至2000埃之間的摻混碳層120移除金屬碳填充物110之金屬部分。如在本文中所用,用語「移除」及「實質上移除」不必然是指完全移除層中的金屬碳填充物110的所有金屬部分。使用該等詞彙「移除」及「實質上移除」反而是意味已移除充分百分比的金屬填充物110之金屬成分而改變化學修飾層對用於移除該化學修飾層之蝕刻製程的蝕刻阻力。舉例而言,當金屬碳填充物110之金屬成分中的至少50%或更多被移除時,摻混碳層可視為多孔碳層122。一實施例中,當金屬碳填充物110之金屬成分中的至少95%被移除時,摻混碳層可視為多孔碳層122。特定實施例中,當金屬碳填充物110之金屬成分中的至少99%被移除時,摻混碳層可視為多孔碳層122。
一實施例中,金屬碳填充物110之金屬成分的移除速率可透過增加摻混碳層120的溫度而增加。一些實施例中,增加摻混碳層120的溫度可指數式增加金屬碳填充物110之金屬成分的移除速率。移除速率的增加可容許使用更少的脈衝循環或更少的處理時間從給定厚度的摻混碳層形成多孔碳層。一實施例中,處理溫度可介於約攝氏400度至攝氏650度之間。
已移除金屬碳填充物110之金屬成分而形成多孔碳層122之後,可使用標準碳移除技術(諸如灰化)移除該多孔碳層122,這在下文中會更詳細地描述。然而,一些實施例中,可用第二填充物鞏固(即,再度摻混)多孔碳硬遮罩122,如視情況任選的操作266中所示。根據一個實施例,此類經鞏固的碳層124顯示於第1C圖中。
如第1C圖所繪示,第二填充物114可整合至碳層105中而位於空穴112中,該空穴112是透過移除金屬碳填充物110的金屬部分而形成。一實施例中,第二填充物114可透過擴散製程整合至鞏固的碳層124中。例如,多孔碳層122可暴露至含第二填充物114的氣體。一實施例中,第二填充物114完全填充該空穴112。一實施例中,第二填充物114部分填充該空穴112。一實施例中,第二填充物114透過塗布環繞空穴112的碳層之表面而部分填充該等空穴112。一實施例中,該第二填充物114可包括提供期望性質給鞏固的碳層124的材料。一實施例中,第二填充物114可為金屬、半導體、或金屬氧化物。
現在參考第3A圖至第3E圖中的剖面示意圖及第4圖中的製程流程圖,根據一實施例,描述用於使用摻混碳硬遮罩圖案化下層的製程470的描述。儘管描述摻混碳層且在本文中該摻混碳層用作為硬遮罩,應瞭解類似上文針對第1A圖至第1C圖描述的摻混碳層亦可用在超出用作為半導體元件製造之硬遮罩的許多不同應用中。
以操作472開始,實施例包括形成碳硬遮罩,該碳硬遮罩覆於下層上方,該碳硬遮罩摻混有金屬碳填充物。於第3A圖中繪示包括摻混碳硬遮罩320的層之堆疊300。如所繪示,摻混碳硬遮罩320形成覆於期望受到圖案化的下層304上方。該下層304可形成為覆於基材303上方。一實施例中,該下層304可以是將會借助於摻混碳硬遮罩320而圖案化的任何材料層或多個材料層之堆疊。據此,下層304可包括相對於摻混碳硬遮罩320受選擇性蝕刻的材料。例如,該下層304可包括下述之一或多者:多晶矽、SiO2 、Si3 N4 、TiN、Cu、Al、Si、W、Co、Ta、TaN、WN、SiC、SiCN、SiOCN、及SiOC。一實施例中,該基材303可以是在上面可圖案化該下層的任何材料層。一實施例中,該基材303可以是半導體材料,諸如矽、三五族半導體材料、絕緣體上覆矽(SOI)、或類似物。額外的實施例可包括位在基材303與下層304之間的蝕刻停止層(圖中未示)。
一實施例中,該摻混碳硬遮罩320可實質上類似上文所述之該摻混碳層120。特定而言,該摻混碳硬遮罩320可包括碳材料,該碳材料包括金屬碳填充物。例如,該金屬碳填充物可以是碳化硼且該摻混碳硬遮罩320可具有介於10%至90%之間的金屬碳填充物的原子百分比。在剩下的圖式中,為了簡明起見而省略該等填充物(及後續形成的空隙),且摻混碳硬遮罩及多孔碳硬遮罩之間的差異是以不同陰影表示。
現在參考操作474,根據一實施例,可圖案化該摻混碳硬遮罩320。根據一實施例,圖案化的摻混碳硬遮罩320繪示於第3B圖中。在第3B圖中,該摻混碳硬遮罩320顯示為經過圖案化而形成複數個開口335,該等開口335穿過該摻混碳硬遮罩320。該複數個開口335可暴露下層304的頂表面。所繪示的實施例中,該複數個開口335經圖案化而形成複數個均勻間隔的鰭部,然而實施例並不限於此類組裝方式,且可將任何期望的圖案形成至該摻混碳硬遮罩320中。
一實施例中,該摻混碳硬遮罩320可用任何適合的圖案化製程圖案化。例如,感光性阻劑(圖中未示)可沉積覆於該摻混碳硬遮罩320上方、經曝光及顯影。隨後,顯影的感光性阻劑可用作為蝕刻遮罩,將該曝光圖案轉移到該摻混碳硬遮罩320中。將該圖案轉移到該摻混碳硬遮罩320之後,可剝除該感光性阻劑。
現在參考操作476,摻混碳硬遮罩320中形成的圖案可轉移至下層304中。根據一實施例,有此類圖案化下層304的堆疊300繪示於第3C圖中。由於摻混碳硬遮罩320相對於下層304的高蝕刻選擇性,可將具有微小臨界尺寸的高深寬比特徵圖案化至下層304中。如所繪示,開口337可形成至下層304中,該等開口337實質上對齊形成於摻混碳硬遮罩320中的開口335。一實施例中,可用電漿蝕刻製程圖案化下層304。
已將下層304圖案化之後,可能必須移除摻混碳硬遮罩320。然而,如上文所記載,金屬碳摻混組份阻止使用此技術已知的簡單的硬遮罩移除製程。就此而言,實施例可包括摻混碳硬遮罩320的化學修飾。據此,操作478可包括從摻混碳硬遮罩320移除金屬碳填充物。根據一實施例,具化學修飾硬遮罩322的堆疊300繪示於第3D圖中。
根據一實施例,該化學修飾硬遮罩322可實質上類似上文所述的多孔碳硬遮罩122。例如,多孔碳硬遮罩322可包括碳層,該碳層包括先前該金屬碳填充物的金屬部分所定位之處的空隙或空隙網絡(第3D圖中不可見)。一實施例中,可用實質上與上文針對第1B圖所描述之製程類似的製程移除金屬碳填充物的金屬部分。例如,堆疊300可暴露至氣相蝕刻劑及鹵化劑,而使金屬碳填充物的金屬部分揮發。碳化硼填充物的特定實施例中,可使用亞硫醯氯或氯使碳化硼填充物的硼成分揮發。
一實施例中,用於從摻混碳硬遮罩320移除金屬碳填充物的金屬部分而形成多孔碳硬遮罩322的處理條件(例如溫度、壓力、脈衝數等)可實質上類似上文所述的那些條件。一實施例中,摻混碳硬遮罩320的化學修飾可在與用於圖案化下層304相同的處理腔室中實行;即,為了從摻混碳硬遮罩320移除金屬碳填充物,可無須將堆疊300移出至不同處理腔室。額外實施例中,堆疊300可移送到不同的腔室,以從摻混碳硬遮罩320移除金屬碳填充物。
已從摻混碳硬遮罩320移除金屬碳填充物而形成多孔碳硬遮罩322之後,堆疊300的處理可透過操作480繼續,該操作480包括從下層304移除多孔碳硬遮罩322。根據一實施例,已移除多孔碳硬遮罩322的堆疊300繪示於第3E圖中。
根據一實施例,可用標準硬遮罩移除技術移除多孔碳硬遮罩322。例如,可透過暴露至諸如N2 O、NO、O3 、CO2 、O2 、H2 O、或類似物的氣體,或透過暴露至由諸如N2 O、NO、O3 、CO2 、O2 、H2 O、或類似物之氣體所形成的電漿而移除多孔碳硬遮罩322。一實施例中,電漿可存在於處理腔室中或可為遠端電漿。一實施例中,可移除多孔碳硬遮罩322且同時堆疊300處於與用於從摻混碳硬遮罩320移除金屬碳填充物的金屬部分相同的處理腔室中,或者可在不同的處理腔室中移除多孔碳硬遮罩322。
所繪示的實施例中,移除金屬碳填充物的金屬部分而形成多孔碳硬遮罩322及從堆疊300最終移除多孔碳硬遮罩322被繪示成兩個分開的處理操作。然而,實施例不限於這種設置方式。例如,可使用脈衝製程,該脈衝製程在移除金屬碳填充物的金屬成分及移除硬遮罩的多孔部分之間交替。在此類實施例中,可使用處理氣體之一或多個脈衝,以從摻混碳硬遮罩320之暴露的上部移除金屬碳填充物的金屬成分(即,可不使整個硬遮罩呈多孔)。之後,可使用硬遮罩移除處理氣體之一或多個脈衝,以僅移除硬遮罩的多孔部分。可重複此製程任何次數,直到移除整個硬遮罩為止。尚有另一實施例中,用於使金屬碳填充物的金屬成分揮發的處理氣體可與用於移除多孔碳硬遮罩322的處理氣體同時流進腔室中。
現在參考第5A圖至第5D圖,根據一實施例,顯示對摻混碳硬遮罩之局部部分進行化學修飾的流程剖面示意圖。此類實施例可在僅需要移除硬遮罩之一些區域時很實用。
現在參考第5A圖,根據一實施例,顯示堆疊500,該堆疊500包括摻混碳硬遮罩520、下層504、及基材503。堆疊500可實質上類似上文所述之堆疊300,因此不在此更詳細描述該堆疊500。
在形成堆疊500之後,實施例包括形成第二遮罩層552,該第二遮罩層522覆於摻混碳硬遮罩520上方,如第5B圖所示。一實施例中,第二遮罩層552可以是感光材料或另一碳硬遮罩。可圖案化該第二遮罩層552而暴露摻混碳硬遮罩的暴露部分528。
現在參考第5C圖,可化學修飾該摻混碳硬遮罩520,以移除該摻混碳硬遮罩520的暴露部分528中的金屬碳填充物的金屬部分。此類實施例中,該硬遮罩因而可包括多孔碳硬遮罩材料522之部分及摻混碳硬遮罩材料520之部分。如所繪示,暴露部分528轉換成多孔碳硬遮罩材料522。由第二遮罩層552覆蓋的摻混碳硬遮罩材料的部分受到保護隔絕揮發氣體且不會被化學修飾。然而,應瞭解,透過擴散,第二遮罩層552下方的一些部分553(例如底切部)可能會被化學修飾。據此,多孔部分522可便於透過實質上類似上文所述之處理的硬遮罩移除處理所移除,同時留下非化學修飾的部分520,如第5D圖中所繪示。
在所繪示及上文所述之處理操作中,在處理腔室中處理單一堆疊300或500。然而,應瞭解,可同時處理任何數目的堆疊。例如,可在單一腔室中處理複數個晶圓,而這些晶圓之各者具有他們自己的包括可化學修飾摻混碳硬遮罩的層堆疊或層之多個堆疊。替代實施例包括於一時間處理單一晶圓。
現在參考第6圖,根據一實施例繪示處理工具之示範性電腦系統660的方塊圖。一實施例中,電腦系統660耦接處理工具且控制處理工具中的處理。電腦系統660可連接(例如網聯(network))至區域網路(LAN)、內部網路、外部網路、或網際網路中的其他機器。電腦系統660可在伺服器或客戶伺服器網路環境中的客戶機器的能力(capacity)內操作,或作為點對點(或分散式)網路環境中的點端機器。電腦系統660可以是個人電腦(PC)、膝上型PC、機上盒(STB)、個人數位助理(PDA)、行動電話、網路設備、伺服器、網路路由器、交換器或橋接器、或任何能夠執行指令集(依序或以其他方式)的機器,該指令集指定該機器採取之行動。進一步而言,儘管針對電腦系統660只繪示了單一機器,但用語「機器」應當亦視為包括個別或一起執行指令集(或多個指令集)以執行本文所述之任一或多種方法的機器(例如電腦)之任何集合。
電腦系統660可包括電腦程式產品(或軟體622),該產品具有非暫態機器可讀媒體,該媒體上儲存有指令,該等指令可用於為電腦系統660(或其他電子裝置)設計程式,以執行根據多個實施例的製程。機器可讀媒體包括用於儲存或傳輸形式為機器(例如電腦)可讀的資訊的任何機構。例如,機器可讀(例如電腦可讀)媒體包括機器(例如電腦)可讀儲存媒體(例如,唯讀記憶體(「ROM」)、隨機存取記憶體(「RAM」)、磁碟儲存媒體、光學儲存媒體、快閃記憶體元件等)、機器(例如電腦)可讀傳輸媒體(電子、光學、聲波、或其他形式的傳播訊號(例如紅外線訊號、數位訊號等))等。
一實施例中,電腦系統660包括系統處理器602、主要記憶體604(例如,唯讀記憶體(ROM)、快閃記憶體、動態隨機存取記憶體(DRAM),該DRAM諸如同步DRAM(SDRAM)或Rambus DRAM(RDRAM)等)、靜態記憶體606(例如快閃記憶體、靜態隨機存取記憶體(SRAM)等)、及次要記憶體618(例如資料儲存裝置),上述部件經由匯流排630彼此通訊。
系統處理器602代表一或多個通用處理裝置,諸如微系統處理器、中央處理單元、或類似物。更特定而言,該系統處理器可以是複雜指令集運算(CISC)微系統處理器、精簡指令集運算(RISC)微系統處理器、超長指令字(VLIW)微系統處理器、實行其他指令集的系統處理器、或實行多指令集之組合的系統處理器。系統處理器602亦可以是一或多種專用處理裝置,諸如特殊應用積體電路(ASIC)、場式可程式化閘陣列(FPGA)、數位訊號系統處理器(DSP)、網路系統處理器、或類似物。系統處理器602裝設成執行處理邏輯626以執行根據本文所述之實施例的操作。
電腦系統660可進一步包括系統網路界面裝置608,以與其他裝置或機器通訊。電腦系統660亦可包括視訊顯示單元610(例如液晶顯示器(LCD)、發光二極體顯示器(LED)、或陰極射線管(CRT))、字母數字輸入裝置612(例如鍵盤)、游標控制裝置614(例如滑鼠)、及訊號生成裝置616(例如揚聲器)。
次要記憶體618可包括機器可存取儲存媒體631(或更特定而言,電腦可讀儲存媒體),實現本文所述之方法或功能之任一或多者的一或多個指令集(例如,軟體622)儲存於該機器可存取儲存媒體632上。在由亦構成機器可讀儲存媒體的電腦系統660、主要記憶體604、及系統處理器602執行軟體622期間,該軟體622亦可完全或至少部分安置在主要記憶體604內及/或系統處理器602內。軟體622可進一步經由系統網路界面裝置608於網路620上傳輸或接收。
儘管於示範性實施例中顯示機器可存取儲存媒體632是單一媒體,但用語「機器可讀儲存媒體」應視為包括儲存一或多個指令集的單一媒體或多個媒體(例如,集中或分散資料庫,及/或相關連的高速緩衝儲存器及伺服器)。用語「機器可讀儲存媒體」應視為包括如以下所述之任何媒體:能夠儲存或編碼用於由機器執行的指令集且引發機器執行該等方法之任一者或多者。據此,用語「機器可讀儲存媒體」應當視為包括(但不限於)固態記憶體及光學與磁性媒體。
上述說明書中,已描述特定的示範性實施例。很明顯,可對該等實施例製作各種修飾但不可背離下文的申請專利範圍之範疇。因此,該說明書與圖示視為說明性質而非限制性質。
105:碳層 110:金屬碳填充物 112:空隙 114:第二填充物 120:摻混碳層 122:多孔碳層 124:經鞏固的碳層 260:製程 262~264:操作 300:堆疊 303:基材 304:下層 320:摻混碳硬遮罩 322:化學修飾硬遮罩 335:開口 337:開口 470:製程 472~480:操作 500:堆疊 503:基材 504:下層 520:摻混碳硬遮罩 528:暴露部分 552:第二遮罩 553:部分 602:系統處理器 604:主要記憶體 606:靜態記憶體 610:視訊顯示單元 612:字母數字輸入裝置 614:游標控制裝置 616:訊號生成裝置 618:次要記憶體 622:軟體 630:匯流排 631:機器可存取儲存媒體 660:電腦系統
第1A圖是根據一實施例的摻混碳層的剖面示意圖。
第1B圖是根據一實施例的在已移除該摻混組分以形成多孔碳層之後的該摻混碳層的剖面示意圖。
第1C圖是根據一實施例的在已將第二摻混組分引入多孔碳層而形成鞏固(fortified)碳層之後的多孔碳層的剖面示意圖。
第2圖是根據一實施例的用於處理摻混碳層的製程的流程圖。
第3A圖是根據一實施例的形成覆於下層上方的摻混碳硬遮罩的剖面示意圖。
第3B圖是根據一實施例的在已圖案化該摻混碳硬遮罩之後的該摻混碳硬遮罩的剖面示意圖。
第3C圖是根據一實施例的在已將該硬遮罩中的圖案轉移到下層之後的摻混碳硬遮罩的剖面示意圖。
第3D圖是根據一實施例的在已移除摻混組分以形成多孔碳硬遮罩之後的摻混碳硬遮罩的剖面示意圖。
第3E圖是根據一實施例的在已移除多孔碳硬遮罩之後的圖案化基材的剖面示意圖。
第4圖是根據一實施例的用於使用摻混碳硬遮罩以圖案化下層的製程的流程圖。
第5A圖是根據一實施例的形成覆於下層上方的摻混碳硬遮罩的剖面示意圖。
第5B圖是根據一實施例的在將第二遮罩層形成覆於該摻混碳硬遮罩上方之後的該摻混碳硬遮罩的剖面示意圖。
第5C圖是根據一實施例的在已從該摻混碳硬遮罩的不被第二遮罩層覆蓋的部分移除摻混組分而形成該碳硬遮罩之多孔部分之後的摻混碳硬遮罩的剖面示意圖。
第5D圖是根據一實施例的在已移除該碳硬遮罩的多孔部分之後的該摻混碳硬遮罩的剖面示意圖。
第6圖繪示根據一實施例的示範性電腦系統的方塊圖,該示範性電腦系統可與利用可化學修飾之摻混碳層的製程一併使用。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
260:製程
262-266:操作

Claims (20)

  1. 一種以碳為基礎(carbon-based)的硬遮罩(hardmask)層,包括: 一基材;及 一非晶碳層,位於該基材上方,該非晶碳層包括碳與氫,且該非晶碳層包括與該碳鍵結的一金屬填充物,其中在該非晶碳層中的該氫的總原子百分比介於5%至50%之間,且在該非晶碳層中的該金屬填充物的總原子百分比介於5%至90%之間。
  2. 如請求項1所述之以碳為基礎的硬遮罩層,其中該金屬填充物進一步鍵結該氫。
  3. 如請求項1所述之以碳為基礎的硬遮罩層,其中該金屬填充物進一步包括多個金屬-金屬鍵。
  4. 如請求項1所述之以碳為基礎的硬遮罩層,其中該金屬填充物進一步鍵結該氫,且其中該金屬填充物進一步包括多個金屬-金屬鍵。
  5. 如請求項1所述之以碳為基礎的硬遮罩層,其中該金屬填充物是從W、V、Nb、Ta、Ti、Zr、Hf及Al所組成的群組選出的一金屬成分。
  6. 如請求項1所述之以碳為基礎的硬遮罩層,其中該金屬填充物是硼。
  7. 如請求項6所述之以碳為基礎的硬遮罩層,其中該非晶碳層包括B4 C。
  8. 一種以碳為基礎的硬遮罩層,包括: 一基材;及 一非晶碳化物層,位於該基材上方,該非晶碳化物層包括碳與氫,且該非晶碳化物層包括與該碳鍵結的一金屬填充物,其中在該非晶碳化物層中的該氫的總原子百分比介於5%至50%之間,且在該非晶碳化物層中的該金屬填充物的總原子百分比介於5%至90%之間。
  9. 如請求項8所述之以碳為基礎的硬遮罩層,其中該金屬填充物進一步鍵結該氫。
  10. 如請求項8所述之以碳為基礎的硬遮罩層,其中金屬填充物進一步包括多個金屬-金屬鍵。
  11. 如請求項8所述之以碳為基礎的硬遮罩層,其中該金屬填充物進一步鍵結該氫,且其中該金屬填充物進一步包括多個金屬-金屬鍵。
  12. 如請求項8所述之以碳為基礎的硬遮罩層,其中該金屬填充物是從W、V、Nb、Ta、Ti、Zr、Hf及Al所組成的群組選出的一金屬成分。
  13. 如請求項8所述之以碳為基礎的硬遮罩層,其中該金屬填充物是硼。
  14. 如請求項13所述之以碳為基礎的硬遮罩層,其中該非晶碳化物層包括B4 C。
  15. 一種碳為基礎的硬遮罩層,包括: 一基材;及 一非晶碳與碳化物層,位於該基材上方,該非晶碳與碳化物層包括碳與氫,且該非晶碳與碳化物層包括與該碳鍵結的一金屬填充物,其中在該非晶碳與碳化物層中的該氫的總原子百分比介於5%至50%之間,且在該非晶碳與碳化物層中的該金屬填充物的總原子百分比介於5%至90%之間。
  16. 如請求項15所述之以碳為基礎的硬遮罩層,其中該金屬填充物進一步鍵結該氫。
  17. 如請求項15所述之以碳為基礎的硬遮罩層,其中金屬填充物進一步包括多個金屬-金屬鍵。
  18. 如請求項15所述之以碳為基礎的硬遮罩層,其中該金屬填充物進一步鍵結該氫,且其中該金屬填充物進一步包括多個金屬-金屬鍵。
  19. 如請求項15所述之以碳為基礎的硬遮罩層,其中該金屬填充物是從W、V、Nb、Ta、Ti、Zr、Hf及Al所組成的群組選出的一金屬成分。
  20. 如請求項15所述之以碳為基礎的硬遮罩層,其中該金屬填充物是硼,且其中該非晶碳與碳化物層包括B4 C。
TW109140435A 2016-10-01 2017-02-02 用於增強性蝕刻與選擇性移除的硬遮罩膜的化學修飾 TWI745171B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/283,400 2016-10-01
US15/283,400 US9870915B1 (en) 2016-10-01 2016-10-01 Chemical modification of hardmask films for enhanced etching and selective removal

Publications (2)

Publication Number Publication Date
TW202130857A true TW202130857A (zh) 2021-08-16
TWI745171B TWI745171B (zh) 2021-11-01

Family

ID=60935548

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106103429A TWI713687B (zh) 2016-10-01 2017-02-02 用於增強性蝕刻與選擇性移除的硬遮罩膜的化學修飾
TW109140435A TWI745171B (zh) 2016-10-01 2017-02-02 用於增強性蝕刻與選擇性移除的硬遮罩膜的化學修飾

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW106103429A TWI713687B (zh) 2016-10-01 2017-02-02 用於增強性蝕刻與選擇性移除的硬遮罩膜的化學修飾

Country Status (6)

Country Link
US (2) US9870915B1 (zh)
JP (2) JP6843976B2 (zh)
KR (2) KR102392073B1 (zh)
CN (2) CN116487254A (zh)
TW (2) TWI713687B (zh)
WO (1) WO2018063432A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10971357B2 (en) * 2018-10-04 2021-04-06 Applied Materials, Inc. Thin film treatment process
US20240128091A1 (en) * 2022-10-13 2024-04-18 Applied Materials, Inc. Dry etching with etch byproduct self-cleaning

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6025273A (en) * 1998-04-06 2000-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for etching reliable small contact holes with improved profiles for semiconductor integrated circuits using a carbon doped hard mask
JP4127682B2 (ja) * 1999-06-07 2008-07-30 株式会社東芝 パターン形成方法
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US7658798B2 (en) * 2003-07-18 2010-02-09 Nec Corporation Method for fixing metal particles and method for manufacturing substrate containing metal particles, method for manufacturing substrate containing carbon nanotube, and method for manufacturing substrate containing semiconductor-crystalline rod, employing thereof
US20050199585A1 (en) * 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
NL1028867C2 (nl) * 2005-04-26 2006-10-27 Xycarb Ceramics B V Inrichting voor het ondersteunen van een substraat alsmede een werkwijze voor het vervaardigen van een dergelijke inrichting.
JP5261964B2 (ja) * 2007-04-10 2013-08-14 東京エレクトロン株式会社 半導体装置の製造方法
US8080475B2 (en) * 2009-01-23 2011-12-20 Intel Corporation Removal chemistry for selectively etching metal hard mask
JP5656010B2 (ja) * 2009-12-04 2015-01-21 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated ハードマスク膜を形成する方法およびハードマスク膜を成膜する装置
US8247332B2 (en) * 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
TW201216331A (en) * 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US20120258261A1 (en) * 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
US9129911B2 (en) * 2013-01-31 2015-09-08 Applied Materials, Inc. Boron-doped carbon-based hardmask etch processing
US20140216498A1 (en) * 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US9058983B2 (en) * 2013-06-17 2015-06-16 International Business Machines Corporation In-situ hardmask generation
US9040430B2 (en) * 2013-06-27 2015-05-26 Lam Research Corporation Method of stripping organic mask with reduced damage to low-K film
US9287124B2 (en) * 2013-08-30 2016-03-15 Applied Materials, Inc. Method of etching a boron doped carbon hardmask
US9624577B2 (en) * 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
US9653320B2 (en) * 2014-09-12 2017-05-16 Applied Materials, Inc. Methods for etching a hardmask layer for an interconnection structure for semiconductor applications
US9520295B2 (en) * 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9852923B2 (en) * 2015-04-02 2017-12-26 Applied Materials, Inc. Mask etch for patterning

Also Published As

Publication number Publication date
JP2021101470A (ja) 2021-07-08
JP7083415B2 (ja) 2022-06-10
TWI713687B (zh) 2020-12-21
KR102274382B1 (ko) 2021-07-06
CN109844906A (zh) 2019-06-04
KR102392073B1 (ko) 2022-04-27
TW201814083A (zh) 2018-04-16
US10115593B2 (en) 2018-10-30
JP6843976B2 (ja) 2021-03-17
US20180096834A1 (en) 2018-04-05
WO2018063432A1 (en) 2018-04-05
CN109844906B (zh) 2023-05-16
KR20190049923A (ko) 2019-05-09
JP2019530979A (ja) 2019-10-24
KR20210084690A (ko) 2021-07-07
TWI745171B (zh) 2021-11-01
US9870915B1 (en) 2018-01-16
CN116487254A (zh) 2023-07-25

Similar Documents

Publication Publication Date Title
TWI698929B (zh) 半導體裝置的圖案化方法
JP7083415B2 (ja) エッチング及び選択的除去の向上のためのハードマスク膜の化学的修飾
Tsai et al. Sub-30 nm pitch line-space patterning of semiconductor and dielectric materials using directed self-assembly
JP2010225697A (ja) 半導体装置の製造方法
CN110476239A (zh) 使用反应性退火的间隙填充
US20220004105A1 (en) Dry develop process of photoresist
TW202006823A (zh) 改善euv阻劑及硬遮罩選擇性的圖案化方案
Wang et al. Digital etch technique for forming ultra-scaled germanium-tin (Ge 1− x Sn x) fin structure
Kim et al. Study on contact distortion during high aspect ratio contact SiO2 etching
TW202029359A (zh) 半導體裝置的形成方法
JP2008218999A (ja) 半導体装置の製造方法
Kuboi et al. Effect of open area ratio and pattern structure on fluctuations in critical dimension and Si recess
TW202347052A (zh) 用於金屬側氧基光阻之氣相熱蝕刻液
JP2020523801A (ja) タングステン酸化還元による、シームのないタングステン充填
TWI693710B (zh) 磁性穿隧接面結構的製造方法
JP2012174976A (ja) パターンの形成方法
TW202205528A (zh) 半導體結構的形成方法
KR20200119218A (ko) 다색 선택도를 이용한 인접 라인들의 이방성 에칭 방법
WO2024087320A1 (zh) 半导体结构的形成方法及半导体结构
TWI825807B (zh) 具有插塞結構之半導體元件的製備方法
KR100800165B1 (ko) 반도체 소자의 제조방법
Liu et al. Electrical validation of the integration of 193i and DSA for sub-20nm metal cut patterning
JP2006080359A (ja) 窒化シリコン膜の製造方法及び窒化シリコン膜を用いたパターン形成方法
TW202240671A (zh) 用於選擇性釕金屬層形成的雜散釕金屬核移除
JP2010205958A (ja) 半導体装置の製造方法