TW202008464A - 電漿處理方法及電漿處理裝置 - Google Patents

電漿處理方法及電漿處理裝置 Download PDF

Info

Publication number
TW202008464A
TW202008464A TW108127149A TW108127149A TW202008464A TW 202008464 A TW202008464 A TW 202008464A TW 108127149 A TW108127149 A TW 108127149A TW 108127149 A TW108127149 A TW 108127149A TW 202008464 A TW202008464 A TW 202008464A
Authority
TW
Taiwan
Prior art keywords
frequency power
plasma processing
substrate
silicon
upper electrode
Prior art date
Application number
TW108127149A
Other languages
English (en)
Inventor
小笠原幸輔
山口賢太郎
伴瀬貴徳
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202008464A publication Critical patent/TW202008464A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

本發明提供一種電漿處理方法,該方法能夠選擇性地縮小遮罩之開口之長度方向上之寬度及與該開口之長度方向正交之方向上之寬度中之一者。 一個例示之實施形態之電漿處理方法係於基板載置於電容耦合型電漿處理裝置之基板支持台上之狀態下執行。電漿處理方法包含向腔室內供給惰性氣體之步驟、及使含矽材料沈積於基板上之步驟。於沈積之步驟中,為了自腔室內之惰性氣體產生電漿,而選擇性地執行向電漿處理裝置之上部電極供給第1高頻電力、及向基板支持台之下部電極供給第2高頻電力中之一者。又,於沈積之步驟中,對上部電極賦予負極性之偏電壓。

Description

電漿處理方法及電漿處理裝置
本發明之例示之實施形態係關於一種電漿處理方法及電漿處理裝置。
於製造電子裝置時進行電漿蝕刻。於電漿蝕刻中,遮罩之圖案被轉印至基底膜。於遮罩形成有開口。遮罩之開口之寬度存在於執行電漿蝕刻前進行縮小之情形。
於專利文獻1及專利文獻2中記載有縮小遮罩之開口之寬度之技術。專利文獻1及專利文獻2中記載之技術中,使用有電容耦合型電漿處理裝置。於電漿處理裝置之腔室內產生電漿。為了使來自電漿之離子碰撞電漿處理裝置之上部電極,而向電漿處理裝置之上部電極施加負極性之直流電壓。藉由離子之碰撞,自上部電極釋出矽粒子。釋出之粒子沈積於基板上。其結果,將遮罩之開口之寬度縮小。 [先前技術文獻] [專利文獻]
[專利文獻1]日本專利特開2014-82228號公報 [專利文獻2]日本專利特開2018-93189號公報
[發明所欲解決之問題]
要求選擇性地縮小遮罩之開口之長度方向上之寬度及與該開口之長度方向正交之方向上之寬度中之一者。 [解決問題之技術手段]
於一個例示之實施形態中,提供一種對基板執行之電漿處理方法。基板具有含矽膜及遮罩。遮罩設置於含矽膜上。於遮罩形成有開口。開口具有長度方向。電漿處理方法係於基板載置於電容耦合型電漿處理裝置之基板支持台上之狀態下執行。基板支持台設置於電漿處理裝置之腔室內。電漿處理方法包含向腔室內供給惰性氣體之步驟。電漿處理方法更包含使含矽材料沈積於基板上之步驟。於沈積之步驟中,為了自惰性氣體產生電漿,而選擇性地執行自第1高頻電源向電漿處理裝置之上部電極供給第1高頻電力、及自第2高頻電源向基板支持台之下部電極供給第2高頻電力中之一者。第2高頻電力具有較第1高頻電力之頻率更低之頻率。又,於沈積之步驟中,為了使來自電漿之正離子碰撞上部電極,自上部電極釋出含矽材料,而對上部電極賦予負極性之偏電壓。 [發明之效果]
根據一個例示之實施形態,能夠選擇性地縮小遮罩之開口之長度方向上之寬度及與該開口之長度方向正交之方向上之寬度中之一者。
以下,對各種例示之實施形態進行說明。
於一例示之實施形態中,提供一種對基板執行之電漿處理方法。基板具有含矽膜及遮罩。遮罩設置於含矽膜上。於遮罩形成有開口。開口具有長度方向。電漿處理方法係於基板載置在電容耦合型電漿處理裝置之基板支持台上之狀態下執行。基板支持台設置於電漿處理裝置之腔室內。電漿處理方法包含向腔室內供給惰性氣體之步驟。電漿處理方法更包含使含矽材料沈積於基板上之步驟。於沈積之步驟中,為了自惰性氣體產生電漿,而選擇性地執行自第1高頻電源向電漿處理裝置之上部電極供給第1高頻電力、及自第2高頻電源向基板支持台之下部電極供給第2高頻電力中之一者。第2高頻電力具有較第1高頻電力之頻率更低之頻率。又,於沈積之步驟中,為了使來自電漿之正離子碰撞上部電極,自上部電極釋出含矽材料,而對上部電極賦予負極性之偏電壓。
於沈積之步驟中,當於向上部電極供給第1高頻電力之狀態下,對上部電極賦予負極性之偏電壓時,藉由含矽材料選擇性地縮小遮罩之開口之長度方向之寬度。另一方面,於沈積之步驟中,當於向下部電極供給第2高頻電力之狀態下,對上部電極賦予負極性之偏電壓時,藉由含矽材料選擇性地縮小與遮罩之開口之長度方向正交之方向之寬度。
於其他例示之實施形態中,提供一種對基板執行之電漿處理方法。基板具有含矽膜及遮罩。遮罩設置於含矽膜上。於遮罩形成有開口。開口具有長度方向。電漿處理方法係於基板載置在電容耦合型電漿處理裝置之基板支持台上之狀態下執行。電漿處理方法包含向腔室內供給惰性氣體之步驟。電漿處理方法更包含使含矽材料沈積於基板上之步驟。於沈積之步驟中,為了自惰性氣體產生電漿,而自第2高頻電源向基板支持台之下部電極供給第2高頻電力。第2高頻電力具有較藉由電性連接於電漿處理裝置之上部電極之第1高頻電源產生之第1高頻電力之頻率更低之頻率。又,於沈積之步驟中,為了使來自電漿之正離子碰撞上部電極,自上部電極釋出含矽材料,而對上部電極賦予負極性之偏電壓。
於其他例示之實施形態之電漿處理方法中,如上所述,於向下部電極供給第2高頻電力之狀態下,向上部電極賦予負極性之偏電壓。其結果,藉由含矽材料選擇性地縮小與遮罩之開口之長度方向正交之方向之寬度。
於一個例示之實施形態中,負極性之偏電壓可為直流電壓。
於一個例示之實施形態中,構成上部電極之含矽材料可由矽構成。
於一個例示之實施形態中,遮罩可為抗蝕劑遮罩。含矽膜可為含有矽之抗反射膜。基板亦可更具有有機膜,於該有機膜上設置有抗反射膜。
於一個例示之實施形態中,電漿處理方法亦可於沈積之步驟後,更包含執行對於遮罩之基底膜之電漿蝕刻之步驟。
於一個例示之實施形態中,至少於沈積之步驟之開始時間點至執行電漿蝕刻之步驟之結束時間點為止之間,基板可持續地收容於經減壓之腔室之內部空間之中。
進而於其他例示之實施形態中,提供一種電容耦合型電漿處理裝置。電漿處理裝置具備腔室、氣體供給部、基板支持台、上部電極、第1高頻電源、第2高頻電源、偏壓電源、及控制部。氣體供給部以向腔室內供給惰性氣體之方式構成。基板支持台具有下部電極,且設置於腔室內。上部電極設置於基板支持台之上方。第1高頻電源以產生第1高頻電力之方式構成,且電性連接於上部電極。第2高頻電源以產生第2高頻電力之方式構成,且電性連接於下部電極。第2高頻電力具有較第1高頻電力之頻率更低之頻率。偏壓電源以向上部電極賦予負極性之偏電壓之方式構成。控制部以控制氣體供給部、第1高頻電源、第2高頻電源、及偏壓電源之方式構成。控制部以向腔室內供給惰性氣體之方式,控制氣體供給部。控制部為了自惰性氣體產生電漿,而選擇性地執行自第1高頻電源向上部電極供給第1高頻電力、及自第2高頻電源向下部電極供給第2高頻電力中之一者。控制部為了使來自電漿之正離子碰撞上部電極,自上部電極釋出含矽材料,而以向上部電極賦予負極性之偏電壓之方式控制偏壓電源。
於一個例示之實施形態中,偏壓電源可為直流電源。
於一個例示之實施形態中,構成上部電極之含矽材料可由矽形成。
以下,參照圖式,詳細地對各種例示之實施形態進行說明。再者,於各圖式中對相同或相符之部分標註相同之符號。
圖1係表示一個例示之實施形態之電漿處理方法之流程圖。圖1所示之電漿處理方法(以下稱為「方法MT」)係為縮小基板之遮罩之開口之寬度而執行。
圖2(a)係表示一例之基板之一部分之俯視圖,圖2(b)係沿著圖2(a)之B-B線截取之剖視圖,圖2(c)係沿著圖2(a)之C-C線截取之剖視圖。方法MT可用於圖2(a)、圖2(b)、及圖2(c)所示之基板W。基板W具有含矽膜SF及遮罩MK。含矽膜SF由含矽之材料形成。含矽膜SF例如可為含有矽之抗反射膜。遮罩MK設置於含矽膜SF上。遮罩MK例如為抗蝕劑遮罩。遮罩MK若為以對遮罩MK選擇性地蝕刻含矽膜SF之方式選擇之材料,則可由任意之材料形成。於遮罩MK上形成有開口OP。開口OP具有長度方向。開口OP例如為長孔。以下,將開口OP之長度方向設為Y方向,將與開口OP之長度方向正交之方向設為X方向進行參照。遮罩MK例如藉由光微影法技術而圖案化。
基板W亦可更具有有機膜OF。含矽膜SF設置於有機膜OF上。基板W亦可更具有基底區域BR及其他膜AF。膜AF設置於基底區域BR上。膜AF可為含有矽之膜。膜AF例如為氧化矽膜。於膜AF上設置有機膜OF。
於執行方法MT時使用電漿處理裝置。圖3係概略地表示一個例示之實施形態之電漿處理裝置之圖。圖3所示之電漿處理裝置1為電容耦合型電漿處理裝置。電漿處理裝置1具備腔室10。腔室10係於其中提供內部空間10s。
腔室10包含腔室本體12。腔室本體12具有大致圓筒形狀。內部空間10s由腔室本體12之內側提供。腔室本體12例如由鋁形成。於腔室本體12之內壁面實施有具有耐腐蝕性之膜。具有耐腐蝕性之膜可為由氧化鋁、氧化釔之類陶瓷形成之膜。
於腔室本體12之側壁形成有通路12p。基板W於內部空間10s與腔室10之外部之間搬送時,通過通路12p。通路12p能夠藉由閘閥12g而開啟及關閉。閘閥12g係沿著腔室本體12之側壁設置。
於腔室本體12之底部上設置有支持部13。支持部13由絕緣材料形成。支持部13具有大致圓筒形狀。支持部13於內部空間10s之中,自腔室本體12之底部向上方延伸。支持部13支持基板支持台、即支持台14。支持台14設置於腔室10內、即內部空間10s之中。支持台14於內部空間10s之中,以支持基板W之方式構成。
支持台14具有下部電極18及靜電吸盤20。支持台14可更具有電極板16。電極板16例如由鋁之類導體形成,且具有大致圓盤形狀。下部電極18設置於電極板16上。下部電極18例如由鋁之類之導體形成,且具有大致圓盤形狀。下部電極18電性連接於電極板16。
靜電吸盤20設置於下部電極18上。於靜電吸盤20之上表面之上載置基板W。靜電吸盤20具有本體及電極。靜電吸盤20之本體由介電體形成。靜電吸盤20之電極為膜狀電極,且設置於靜電吸盤20之本體內。靜電吸盤20之電極經由開關20s連接於直流電源20p。當向靜電吸盤20之電極施加來自直流電源20p之電壓時,於靜電吸盤20與基板W之間產生靜電引力。藉由產生之靜電引力,將基板W拉近至靜電吸盤20,且藉由靜電吸盤20保持。
於支持台14上,以包圍基板W之邊緣之方式配置聚焦環FR。聚焦環FR係為了提高對基板W之電漿處理之面內均一性而設置。聚焦環FR未受限定,但可由矽、碳化矽、或石英形成。
於下部電極18之內部設置有流路18f。自冷卻單元22經由配管22a向流路18f供給熱交換介質(例如冷媒)。冷卻單元22設置於腔室10之外部。供給至流路18f之熱交換介質經由配管22b返回至冷卻單元22。於電漿處理裝置1中,藉由熱交換介質與下部電極18之熱交換,調整載置於靜電吸盤20上之基板W之溫度。
於電漿處理裝置1中設置有氣體供給管線24。氣體供給管線24向靜電吸盤20之上表面與基板W之背面之間供給導熱氣體(例如He氣體)。導熱氣體自導熱氣體供給機構供給至氣體供給管線24。
電漿處理裝置1更具備上部電極30。上部電極30設置於支持台14之上方。上部電極30介隔構件32支持於腔室本體12之上部。構件32由具有絕緣性之材料形成。上部電極30與構件32封閉腔室本體12之上部開口。
上部電極30可包含頂板34及支持體36。頂板34之下表面係內部空間10s之側之下表面,且劃分形成內部空間10s。頂板34由含矽材料形成。頂板34例如由矽或碳化矽形成。於頂板34形成有複數個氣體噴出孔34a。複數個氣體噴出孔34a於頂板34之板厚方向上貫通頂板34。
支持體36裝卸自如地支持頂板34。支持體36由鋁等導電性材料形成。於支持體36之內部設置有氣體擴散室36a。於支持體36形成有複數個氣體孔36b。複數個氣體孔36b自氣體擴散室36a向下方延伸。複數個氣體孔36b分別連通於複數個氣體噴出孔34a。於支持體36形成有氣體導入口36c。氣體導入口36c連接於氣體擴散室36a。於氣體導入口36c連接有氣體供給管38。
於氣體供給管38經由閥群41、流量控制器群42、及閥群43,連接有氣源群40。氣源群40、閥群41、流量控制器群42、及閥群43構成氣體供給部GS。氣源群40包含複數個氣源。氣源群40之複數個氣源包含方法MT中使用之複數個氣源。閥群41及閥群43各自包含複數個開關閥。流量控制器群42包含複數個流量控制器。流量控制器群42之複數個流量控制器分別為質量流量控制器或壓力控制式流量控制器。氣源群40之複數個氣源各自經由閥群41之對應之開關閥、流量控制器群42之對應之流量控制器、及閥群43之對應之開關閥,連接於氣體供給管38。
於電漿處理裝置1中,沿著腔室本體12之內壁面裝卸自如地設置有防護罩46。防護罩46亦設置於支持部13之外周。防護罩46防止電漿處理之副產物附著於腔室本體12。防護罩46例如藉由於由鋁形成之構件之表面形成具有耐腐蝕性之膜而構成。具有耐腐蝕性之膜可為由氧化釔之類陶瓷形成之膜。
於支持部13與腔室本體12之側壁之間設置有擋板48。擋板48例如藉由於由鋁形成之構件之表面形成具有耐腐蝕性之膜而構成。具有耐腐蝕性之膜可為由氧化釔等陶瓷形成之膜。於擋板48形成有複數個貫通孔。於擋板48之下方且腔室本體12之底部設置有排氣口12e。於排氣口12e經由排氣管52連接有排氣裝置50。排氣裝置50具有壓力調整閥及渦輪分子泵之類真空泵。
電漿處理裝置1更具備第1高頻電源62及第2高頻電源64。第1高頻電源62係產生第1高頻電力之電源。第1高頻電力係於一例中具有適於產生電漿之頻率。第1高頻電力之頻率例如為27 MHz~100 MHz之範圍內之頻率。第1高頻電源62經由整合器66及電極板16連接於上部電極30。整合器66具有用以使第1高頻電源62之輸出阻抗與負載側(上部電極30側)之阻抗整合之電路。再者,第1高頻電源62亦可經由整合器66連接於下部電極18。
第2高頻電源64係產生第2高頻電力之電源。第2高頻電力具有較第1高頻電力之頻率更低之頻率。第2高頻電力可用作為用以將離子吸引至基板W之偏壓用高頻電力。第2高頻電力之頻率例如為400 kHz~40 MHz之範圍內之頻率。第2高頻電源64經由整合器68及電極板16連接於下部電極18。整合器68具有用以使第2高頻電源64之輸出阻抗與負載側(下部電極18側)之阻抗整合之電路。
電漿處理裝置1更具備偏壓電源70。偏壓電源70以對上部電極30賦予負極性之偏電壓之方式構成。於一例中,偏壓電源70以對上部電極30施加負極性之直流偏電壓之方式構成。於其他一例中,偏壓電源70以對上部電極30施加負極性之交流偏電壓之方式構成。藉由偏壓電源70產生之交流偏電壓之頻率為2 MHz以下。藉由偏壓電源70產生之交流偏電壓之頻率可為100 kHz以下。
電漿處理裝置1更具備控制部80。控制部80可為具備處理器、記憶體之類記憶部、輸入裝置、顯示裝置、信號之輸入輸出介面等之電腦。控制部80控制電漿處理裝置1之各部。於控制部80中,操作員能夠為了管理電漿處理裝置1而使用輸入裝置,進行指令之輸入操作等。又,於控制部80中,能夠藉由顯示裝置將電漿處理裝置1之運轉狀況可視化進行顯示。進而,於控制部80之記憶部中,儲存有控制程式及製程配方資料。控制程式係為了於電漿處理裝置1中執行各種處理,而藉由控制部80之處理器執行。藉由控制部80之處理器執行控制程式,根據製程配方資料控制電漿處理裝置1之各部,而利用電漿處理裝置1執行方法MT。
再次參照圖1,詳細地對方法MT進行說明。於以下說明中,以使用電漿處理裝置1,將方法MT適用於基板W之情形為例,對方法MT進行說明。又,於以下說明中,亦詳細地說明控制部80對電漿處理裝置1之各部之控制。
於方法MT中,將基板W載置於電漿處理裝置1之支持台14上、即靜電吸盤20上。基板W藉由靜電吸盤20保持。方法MT之步驟ST1及步驟ST2係於基板W載置在支持台14上之狀態下執行。於一實施形態中,基板W至少於步驟ST2之開始時間點至步驟ST3之結束時間點之間,持續地收容於經減壓之腔室10之內部空間10s之中。於其他實施形態中,基板W至少於步驟ST1之開始時間點至步驟ST5之結束時間點之間,持續地收容於經減壓之腔室10之內部空間10s之中。
於步驟ST1中,向腔室10內、即內部空間10s供給惰性氣體。惰性氣體例如包含稀有氣體。稀有氣體可包含He、Ne、Ar、Kr、Xe中之任一種。惰性氣體亦可更包含氫氣(H2 氣體)。於步驟ST1中,以向腔室10內供給惰性氣體之方式,藉由控制部80控制氣體供給部GS。又,於步驟ST1中,以將腔室10內之壓力設定為經指定之壓力之方式,藉由控制部80控制排氣裝置50。步驟ST1中開始之惰性氣體之供給及壓力之設定可維持至步驟ST2之結束時間點。
於步驟ST2中,執行用以使含矽材料沈積於基板W上之處理。於步驟ST2中,選擇性地執行自第1高頻電源62向上部電極30供給第1高頻電力、及自第2高頻電源64向下部電極18供給第2高頻電力中之一者。於第1選擇之下,於步驟ST2中,自第1高頻電源62向上部電極30供給第1高頻電力,且停止向下部電極18供給第2高頻電力。再者,於第1選擇之下,於步驟ST2中,亦可自第1高頻電源62向下部電極18供給第1高頻電力,且亦可停止向下部電極18供給第2高頻電力。於第2選擇之下,於步驟ST2中,停止向上部電極30供給第1高頻電力,且自第2高頻電源64向下部電極18供給第2高頻電力。又,於步驟ST2中,自偏壓電源70向上部電極30賦予負極性之偏電壓。
於步驟ST2中,以選擇性地執行向上部電極30供給第1高頻電力、及向下部電極18供給第2高頻電力中之一者之方式,藉由控制部80控制第1高頻電源62及第2高頻電源64。又,於步驟ST2中,以向上部電極30賦予負極性之偏電壓之方式,藉由控制部80控制偏壓電源70。
於第1選擇及第2選擇之任一情形時,皆於步驟ST2中,藉由基於高頻電力之高頻電場於腔室10內激發惰性氣體。其結果,於腔室10內自惰性氣體產生電漿。又,藉由向上部電極30賦予負極性之偏電壓,來自電漿之正離子碰撞上部電極30。藉由正離子碰撞上部電極30,而自上部電極30(頂板34)釋出含矽材料。被釋出之含矽材料沈積於基板W上,如圖4(a)、圖4(b)、及圖4(c)、或圖5(a)、圖5(b)、及圖5(c)所示,形成沈積膜DP。
於在第1選擇之下執行步驟ST2之情形時,如圖4(a)、圖4(b)、及圖4(c)所示,含矽材料沈積於遮罩MK之上表面及含矽膜SF之上表面,形成沈積膜DP。又,於在第1選擇之下執行步驟ST2之情形時,含矽材料選擇性地沈積於劃分形成開口OP之側壁面中之長度方向(Y方向)上之兩緣部上,形成沈積膜DP。其結果,使開口OP之寬度於長度方向上縮小,產生經縮小之開口ROP。
於在第2選擇之下執行步驟ST2之情形時,如圖5(a)、圖5(b)、及圖5(c)所示,含矽材料沈積於遮罩MK之上表面及含矽膜SF之上表面,形成沈積膜DP。又,於在第2選擇之下執行步驟ST2之情形時,含矽材料選擇性地沈積於劃分形成開口OP之側壁面中之X方向上之兩緣部,形成沈積膜DP。其結果,使開口OP之寬度於X方向上縮小,產生經縮小之開口ROP。
繼而於步驟ST3中,對含矽膜SF執行電漿蝕刻。於步驟ST3中,於腔室10內自處理氣體產生電漿。處理氣體例如包含氟碳氣體。於步驟ST3中,藉由來自電漿之化學物種蝕刻含矽膜SF。於步驟ST3中,以向腔室10內供給處理氣體之方式,藉由控制部80控制氣體供給部GS。又,於步驟ST3中,以將腔室10內之壓力設定為經指定之壓力之方式,藉由控制部80控制排氣裝置50。又,於步驟ST3中,以供給第1高頻電力及/或第2高頻電力之方式,藉由控制部80控制第1高頻電源62及/或第2高頻電源64。
再者,於步驟ST3中,可交替地重複進行使來自由處理氣體形成之電漿之氟碳之化學物種沈積於基板W上之步驟、與對基板W照射來自稀有氣體之電漿之離子之步驟。於此情形時,藉由對基板W照射來自稀有氣體之電漿之離子,基板W上之氟碳之化學物種與含矽膜SF進行反應。其結果,將含矽膜SF蝕刻。
於在第1選擇之下已執行步驟ST2之情形時,於步驟ST3中,如圖6(a)及圖6(b)所示,於自長度方向(Y方向)上被縮小之開口ROP露出之部分蝕刻含矽膜SF。於在第2選擇下已執行步驟ST2之情形時,於步驟ST3中,如圖7(a)及圖7(b)所示,於自X方向上被縮小之開口ROP露出之部分蝕刻含矽膜SF。
繼而於步驟ST4中,對有機膜OF執行電漿蝕刻。於步驟ST4中,於腔室10內自處理氣體產生電漿。處理氣體例如包含含氧氣體。含氧氣體可為O2 氣體。或者,處理氣體可為氮氣與氫氣之混合氣體。於步驟ST4中,以向腔室10內供給處理氣體之方式,藉由控制部80控制氣體供給部GS。又,於步驟ST4中,以將腔室10內之壓力設定為經指定之壓力之方式,藉由控制部80控制排氣裝置50。又,於步驟ST4中,以供給第1高頻電力及/或第2高頻電力之方式,藉由控制部80控制第1高頻電源62及/或第2高頻電源64。
於步驟ST4中,藉由來自由處理氣體形成之電漿之化學物種蝕刻有機膜OF。於步驟ST4中,亦蝕刻遮罩MK。於對圖6(a)及圖6(b)所示之基板W執行步驟ST4之情形時,該基板W之含矽膜SF之圖案如圖8(a)及圖8(b)所示地轉印至有機膜OF。於對圖7(a)及圖7(b)所示之基板W執行步驟ST4之情形時,該基板W之含矽膜SF之圖案如圖9(a)及圖9(b)所示地轉印至有機膜OF。
繼而於步驟ST5中,對膜AF執行電漿蝕刻。於步驟ST5中,於腔室10內自處理氣體產生電漿。處理氣體於膜AF為含矽膜之情形時,可為包含鹵素元素之氣體。於步驟ST5中,以向腔室10內供給處理氣體之方式,藉由控制部80控制氣體供給部GS。又,於步驟ST5中,以將腔室10內之壓力設定為經指定之壓力之方式,藉由控制部80控制排氣裝置50。又,於步驟ST5中,以供給第1高頻電力及/或第2高頻電力之方式,藉由控制部80控制第1高頻電源62及/或第2高頻電源64。
於步驟ST5中,藉由來自由處理氣體形成之電漿之化學物種蝕刻膜AF。於步驟ST5中,亦蝕刻含矽膜SF。於對圖8(a)及圖8(b)所示之基板W執行步驟ST5之情形時,該基板W之有機膜OF之圖案如圖10(a)及圖10(b)所示地轉印至膜AF。於對圖9(a)及圖9(b)所示之基板W執行步驟ST5之情形時,該基板W之有機膜OF之圖案如圖11(a)及圖11(b)所示地轉印至膜AF。
如上所述,於步驟ST2中,若於向上部電極30供給第1高頻電力之狀態下,向上部電極30賦予負極性之偏電壓,則藉由含矽材料選擇性地縮小遮罩MK之開口OP之長度方向(Y方向)之寬度。另一方面,於步驟ST2中,若於向下部電極18供給第2高頻電力之狀態下,向上部電極30賦予負極性之偏電壓,則藉由含矽材料選擇性地縮小遮罩MK之開口OP之X方向之寬度。
以上,對各種例示性實施形態進行了說明,但不限於上述例示性實施形態,而可進行各種省略、置換、及變化。又,可組合不同實施形態中之要素,形成其他實施形態。
以下,對為評價方法MT而進行之實驗進行說明。再者,以下說明之實驗不限定本發明。
於實驗中,準備兩個於含矽膜上具有遮罩之試樣基板。於兩個試樣基板之各者中,遮罩為抗蝕劑遮罩。於遮罩形成有開口OP。開口OP之長度方向(Y方向)上之寬度WY1 、X方向上之寬度WX1 (參照圖12(a)及圖12(b))分別為369.1 nm、53.9 nm。兩個試樣基板中,對於第1試樣基板,使用電漿處理裝置1,於第1選擇之下執行步驟ST2。兩個試樣基板中,對於第2試樣基板,使用電漿處理裝置1,於第2選擇之下執行步驟ST2。以下,表示實驗中之步驟ST2之條件。 <對於第1試樣基板之步驟ST2之條件> 腔室10內之壓力:50 mT(6.666 Pa) H2 氣體之流量:100 sccm Ar氣體之流量:760 sccm 第1高頻電力:60 MHz、300 W 第2高頻電力:40 MHz、0 W <對於第2試樣基板之步驟ST2之條件> 腔室10內之壓力:50 mT(6.666 Pa) H2 氣體之流量:100 sccm Ar氣體之流量:760 sccm 第1高頻電力:60 MHz、0 W 第2高頻電力:40 MHz、300 W
於實驗中,對於第1試樣基板及第2試樣基板之各者,測定步驟ST2執行後被縮小之開口ROP之長度方向(Y方向)之寬度WY2 及X方向之寬度WX2 。繼而,對於第1試樣基板及第2試樣基板之各者,求出ΔWY =WY1 -WY2 、ΔWX =WX1 -WX2 。其結果,與第1試樣基板相關之ΔWY 、ΔWX 分別為4.5 nm、0.7 nm。又,與第2試樣基板相關之ΔWY 、ΔWX 分別為0.5 nm、9.4 nm。該實驗之結果,可確認步驟ST2中,於向上部電極30供給第1高頻電力,且停止向下部電極18供給第2高頻電力之情形時,能夠於開口OP之長度方向上選擇性地縮小開口OP之寬度。又,可確認步驟ST2中,於停止向上部電極30供給第1高頻電力,且向下部電極18供給第2高頻電力之情形時,能夠於與開口OP之長度方向正交之方向上選擇性地縮小開口OP之寬度。
根據以上說明,應能夠理解到,本發明之各種實施形態係以說明之目的於本說明書中進行說明,且可不脫離本發明之範圍及主旨地進行各種變化。因此,本說明書中揭示之各種實施形態並未意圖進行限定,真正之範圍與主旨藉由隨附之申請專利範圍表示。
1‧‧‧電漿處理裝置 10‧‧‧腔室 10s‧‧‧內部空間 12‧‧‧腔室本體 12e‧‧‧排氣口 12g‧‧‧閘閥 12p‧‧‧通路 13‧‧‧支持部 14‧‧‧支持台 16‧‧‧電極板 18‧‧‧下部電極 18f‧‧‧流路 20‧‧‧靜電吸盤 20p‧‧‧直流電源 20s‧‧‧開關 22‧‧‧冷卻單元 22a、22b‧‧‧配管 24‧‧‧氣體供給管線 30‧‧‧上部電極 32‧‧‧構件 34‧‧‧頂板 34a‧‧‧氣體噴出孔 36‧‧‧支持體 36a‧‧‧氣體擴散室 36b‧‧‧氣體孔 36c‧‧‧氣體導入口 38‧‧‧氣體供給管 40‧‧‧氣源群 41、43‧‧‧閥群 42‧‧‧流量控制器群 46‧‧‧防護罩 48‧‧‧擋板 50‧‧‧排氣裝置 52‧‧‧排氣管 62‧‧‧第1高頻電源 64‧‧‧第2高頻電源 66、68‧‧‧整合器 70‧‧‧偏壓電源 80‧‧‧控制部 AF‧‧‧膜 BR‧‧‧基底區域 DP‧‧‧沈積膜 FR‧‧‧聚焦環 GS‧‧‧氣體供給部 MK‧‧‧遮罩 MT‧‧‧方法 OF‧‧‧有機膜 OP‧‧‧開口 ROP‧‧‧經縮小之開口 SF‧‧‧含矽膜 W‧‧‧基板 WX1‧‧‧開口之X方向之寬度 WX2‧‧‧經縮小之開口之X方向之寬度 WY1‧‧‧開口之Y方向之寬度 WY2‧‧‧經縮小之開口之Y方向之寬度
圖1係表示一個例示之實施形態之電漿處理方法之流程圖。 圖2(a)係表示一例之基板之一部分之俯視圖,圖2(b)係沿著圖2(a)之B-B線截取之剖視圖;圖2(c)係沿著圖2(a)之C-C線截取之剖視圖。 圖3係概略地表示一個例示之實施形態之電漿處理裝置之圖。 圖4(a)係表示步驟ST2執行後之狀態之一例之基板之一部分的俯視圖,圖4(b)係沿著圖4(a)之B-B線截取之剖視圖,圖4(c)係沿著圖4(a)之C-C線截取之剖視圖。 圖5(a)係表示步驟ST2執行後之狀態之一例之基板之一部分的俯視圖,圖5(b)係沿著圖5(a)之B-B線截取之剖視圖,圖5(c)係沿著圖5(a)之C-C線截取之剖視圖。 圖6(a)係表示步驟ST3執行後之狀態之一例之基板的剖視圖,圖6(b)係表示步驟ST3執行後之狀態之一例之基板的另一剖視圖。 圖7(a)係表示步驟ST3執行後之狀態之一例之基板的剖視圖,圖7(b)係表示步驟ST3執行後之狀態之一例之基板的另一剖視圖。 圖8(a)係表示步驟ST4執行後之狀態之一例之基板的剖視圖,圖8(b)係表示步驟ST4執行後之狀態之一例之基板的另一剖視圖。 圖9(a)係表示步驟ST4執行後之狀態之一例之基板的剖視圖,圖9(b)係表示步驟ST4執行後之狀態之一例之基板的另一剖視圖。 圖10(a)係表示步驟ST5執行後之狀態之一例之基板的剖視圖,圖10(b)係表示步驟ST4執行後之狀態之一例之基板的另一剖視圖。 圖11(a)係表示步驟ST5執行後之狀態之一例之基板的剖視圖,圖11(b)係表示步驟ST4執行後之狀態之一例之基板的另一剖視圖。 圖12(a)、(b)係表示實驗中取得之測定值之圖。
MT‧‧‧方法

Claims (10)

  1. 一種電漿處理方法,其係對基板執行者,且上述基板具有含矽膜及設置於該含矽膜上之遮罩,於該遮罩形成有具有長度方向之開口, 該電漿處理方法係於上述基板載置於電容耦合型電漿處理裝置之腔室內所設置之基板支持台上之狀態下執行,且包含如下步驟: 向上述腔室內供給惰性氣體;及 使含矽材料沈積於上述基板上,且為了自上述惰性氣體產生電漿,而選擇性地執行自第1高頻電源向上述電漿處理裝置之上部電極供給第1高頻電力、及自第2高頻電源向上述基板支持台之下部電極供給具有較上述第1高頻電力之頻率更低之頻率之第2高頻電力中之一者,且為使來自上述電漿之正離子碰撞上述上部電極,自上述上部電極釋出上述含矽材料,而自偏壓電源向上述上部電極賦予負極性之偏電壓。
  2. 一種電漿處理方法,其係對基板執行者,且上述基板具有含矽膜及設置於該含矽膜上之遮罩,於該遮罩形成有具有長度方向之開口, 該電漿處理方法係於上述基板載置於電容耦合型電漿處理裝置之腔室內所設置之基板支持台上之狀態下執行,且包含如下步驟: 向上述腔室內供給惰性氣體;及 使含矽材料沈積於上述基板上,且為了自上述惰性氣體產生電漿,而自第2高頻電源向上述基板支持台之下部電極供給第2高頻電力,該第2高頻電力具有較藉由電性連接於上述電漿處理裝置之上部電極之第1高頻電源產生之第1高頻電力之頻率更低之頻率,且為使來自上述電漿之正離子碰撞上述上部電極,自上述上部電極釋出上述含矽材料,而自偏壓電源向上述上部電極賦予負極性之偏電壓。
  3. 如請求項1或2之電漿處理方法,其中上述負極性之偏電壓為直流電壓。
  4. 如請求項1至3中任一項之電漿處理方法,其中構成上述上部電極之上述含矽材料包含矽。
  5. 如請求項1至4中任一項之電漿處理方法,其中上述遮罩為抗蝕劑遮罩, 上述含矽膜為含矽之抗反射膜, 上述基板更具有有機膜,於該有機膜之上設置有上述抗反射膜。
  6. 如請求項1至5中任一項之電漿處理方法,其中於進行沈積之上述步驟後,更包含對上述遮罩之基底膜執行電漿蝕刻之步驟。
  7. 如請求項1至6中任一項之電漿處理方法,其中至少於進行沈積之上述步驟之開始時間點至執行電漿蝕刻之上述步驟之結束時間點之間,上述基板持續地收容於經減壓之上述腔室之內部空間之中。
  8. 一種電漿處理裝置,其係電容耦合型電漿處理裝置,且具備: 腔室; 氣體供給部,其以向上述腔室內供給惰性氣體之方式構成; 基板支持台,其具有下部電極,且設置於上述腔室內; 上部電極,其設置於上述基板支持台之上方; 第1高頻電源,其以產生第1高頻電力之方式構成,且電性連接於上述上部電極; 第2高頻電源,其以產生具有較第1高頻電力之頻率更低之頻率之第2高頻電力之方式構成,且電性連接於上述下部電極; 偏壓電源,其以向上述上部電極賦予負極性之偏電壓之方式構成;及 控制部,其以控制上述氣體供給部、上述第1高頻電源、上述第2高頻電源、及上述偏壓電源之方式構成; 上述控制部係 以向上述腔室內供給惰性氣體之方式控制上述氣體供給部, 為了自上述惰性氣體產生電漿,而選擇性地執行自上述第1高頻電源向上述上部電極供給上述第1高頻電力、及自上述第2高頻電源向上述下部電極供給上述第2高頻電力中之一者, 為使來自上述電漿之正離子碰撞上述上部電極,自上述上部電極釋出含矽材料,而以向上述上部電極賦予負極性之偏電壓之方式,控制上述偏壓電源。
  9. 如請求項8之電漿處理裝置,其中上述偏壓電源為直流電源。
  10. 如請求項8或9之電漿處理裝置,其中構成上述上部電極之上述含矽材料包含矽。
TW108127149A 2018-08-08 2019-07-31 電漿處理方法及電漿處理裝置 TW202008464A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018-149256 2018-08-08
JP2018149256A JP7203531B2 (ja) 2018-08-08 2018-08-08 プラズマ処理方法及びプラズマ処理装置

Publications (1)

Publication Number Publication Date
TW202008464A true TW202008464A (zh) 2020-02-16

Family

ID=69414147

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108127149A TW202008464A (zh) 2018-08-08 2019-07-31 電漿處理方法及電漿處理裝置

Country Status (6)

Country Link
US (2) US11367590B2 (zh)
JP (1) JP7203531B2 (zh)
KR (1) KR20210035073A (zh)
CN (1) CN111819667A (zh)
TW (1) TW202008464A (zh)
WO (1) WO2020031731A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202247235A (zh) * 2021-02-04 2022-12-01 日商東京威力科創股份有限公司 電漿處理裝置及電漿處理方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US20090321247A1 (en) * 2004-03-05 2009-12-31 Tokyo Electron Limited IONIZED PHYSICAL VAPOR DEPOSITION (iPVD) PROCESS
EP3128538B1 (en) 2004-06-21 2019-12-04 Tokyo Electron Limited Plasma processing apparatus and method
US20060037704A1 (en) * 2004-07-30 2006-02-23 Tokyo Electron Limited Plasma Processing apparatus and method
JP4704087B2 (ja) * 2005-03-31 2011-06-15 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP4827081B2 (ja) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP2007194284A (ja) * 2006-01-17 2007-08-02 Tokyo Electron Ltd プラズマ処理方法、プラズマ処理装置、及び記憶媒体
JP2008028022A (ja) * 2006-07-19 2008-02-07 Tokyo Electron Ltd プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
JP5128421B2 (ja) * 2008-09-04 2013-01-23 東京エレクトロン株式会社 プラズマ処理方法およびレジストパターンの改質方法
JP6034156B2 (ja) * 2011-12-05 2016-11-30 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2014082228A (ja) 2012-10-12 2014-05-08 Tokyo Electron Ltd プラズマエッチング方法
US8893702B2 (en) * 2013-02-20 2014-11-25 Lam Research Corporation Ductile mode machining methods for hard and brittle components of plasma processing apparatuses
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
JP6462477B2 (ja) * 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
US9706634B2 (en) * 2015-08-07 2017-07-11 Varian Semiconductor Equipment Associates, Inc Apparatus and techniques to treat substrates using directional plasma and reactive gas
JP6770848B2 (ja) * 2016-03-29 2020-10-21 東京エレクトロン株式会社 被処理体を処理する方法
JP6637838B2 (ja) 2016-05-26 2020-01-29 東京エレクトロン株式会社 プラズマ処理方法
JP7008474B2 (ja) * 2016-11-30 2022-01-25 東京エレクトロン株式会社 プラズマエッチング方法

Also Published As

Publication number Publication date
US11367590B2 (en) 2022-06-21
WO2020031731A1 (ja) 2020-02-13
US11721522B2 (en) 2023-08-08
KR20210035073A (ko) 2021-03-31
JP7203531B2 (ja) 2023-01-13
US20210020409A1 (en) 2021-01-21
CN111819667A (zh) 2020-10-23
JP2020025035A (ja) 2020-02-13
US20220301824A1 (en) 2022-09-22

Similar Documents

Publication Publication Date Title
TWI760555B (zh) 蝕刻方法
EP2911187A1 (en) Etching method
US9911607B2 (en) Method of processing target object
US20220051904A1 (en) Etching method
TWI686863B (zh) 蝕刻有機膜之方法
TW201631656A (zh) 蝕刻方法
TWI684218B (zh) 蝕刻方法(三)
JP2016207915A (ja) 被処理体を処理する方法
JP2016076621A (ja) 被処理体を処理する方法
US20200111679A1 (en) Etching method
CN107731677B (zh) 处理被处理体的方法
CN109417029B (zh) 对被处理体进行处理的方法
JP6504827B2 (ja) エッチング方法
US11721522B2 (en) Plasma processing method and plasma processing apparatus
TW202102717A (zh) 電漿處理方法及電漿處理裝置
JP7308110B2 (ja) シリコン酸化膜をエッチングする方法及びプラズマ処理装置
JP2019117876A (ja) エッチング方法
CN112420507A (zh) 处理基板的方法、器件制造方法及等离子体处理装置
EP3046137A1 (en) Etching method
TW202044336A (zh) 基板處理方法及基板處理裝置