TW202006831A - 半導體裝置與其形成方法 - Google Patents

半導體裝置與其形成方法 Download PDF

Info

Publication number
TW202006831A
TW202006831A TW108122498A TW108122498A TW202006831A TW 202006831 A TW202006831 A TW 202006831A TW 108122498 A TW108122498 A TW 108122498A TW 108122498 A TW108122498 A TW 108122498A TW 202006831 A TW202006831 A TW 202006831A
Authority
TW
Taiwan
Prior art keywords
forming
stress
fin
layer
recess
Prior art date
Application number
TW108122498A
Other languages
English (en)
Other versions
TWI743502B (zh
Inventor
葉昕豪
顏甫庭
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202006831A publication Critical patent/TW202006831A/zh
Application granted granted Critical
Publication of TWI743502B publication Critical patent/TWI743502B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

方法包括形成鰭狀物於基板上,形成虛置閘極結構於鰭狀物上;移除與虛置閘極結構相鄰的鰭狀物的一部份以形成第一凹陷,沉積應力材料於第一凹陷中;自第一凹陷移除應力材料的至少一部份;以及在移除應力材料的至少一部份之後,磊晶成長源極/汲極區於第一凹陷中。

Description

半導體裝置與其形成方法
本發明實施例關於鰭狀場效電晶體裝置與其形成方法。
半導體裝置用於多種電子應用,比如個人電腦、手機、數位相機、與其他電子設備。半導體裝置的製作方法通常為依續沉積絕緣或介電層、導電層、與半導體層的材料於半導體基板上,並微影圖案化多種材料層以形成電路構件與單元於半導體基板上。
半導體產業持續縮小最小結構尺寸以改善多種電子構件如電晶體、二極體、電阻、電容、或類似物的積體密度,其可讓更多構件整合至給定面積中。然而隨著最小結構尺寸縮小,產生需解決的額外問題。
本發明一實施例提供之半導體裝置的形成方法,包括:形成鰭狀物於基板上;形成虛置閘極結構於鰭狀物上;移除與虛置閘極結構相鄰的鰭狀物的一部份,以形成第一凹陷;沉積應力材料於第一凹陷中;自第一凹陷移除應力材料的至少一部份;以及在移除應力材料的至少一部份之後,磊晶成長源極/汲極區於第一凹陷中。
本發明一實施例提供之半導體裝置的形成方法,包括:圖案化基板以形成帶狀物,且帶狀物包括第一半導體材料;沿著帶狀物的側壁形成隔離區,且帶狀物的上側部份延伸高於隔離區的上表面;沿著帶狀物的上側部份的第一上表面與側壁形成虛置閘極結構;在帶狀物上進行第一蝕刻製程,以形成第一凹陷於與虛置閘極結構相鄰的帶狀物中;形成第一介電材料於第一凹陷中;在第一介電材料上進行退火製程,在退火製程後的第一介電材料提供應力至第一凹陷的側壁;自第一凹陷移除第一介電材料;以及磊晶成長源極/汲極區於第一凹陷中。
本發明一實施例提供之半導體裝置,包括:第一半導體鰭狀物,位於基板上,且第一半導體鰭狀物包括通道區以及與通道區相鄰的凹陷;閘極堆疊,位於第一半導體鰭狀物的通道區上,其中第一半導體鰭狀物的通道區具有的應力介於約2.5GPa至約4.0GPa之間;以及磊晶區,位於凹陷中。
下述內容提供的不同實施例或實例可實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。此外,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
下述實施例的內容特別關於鰭狀場效電晶體裝置與其形成方法。此處所述的多種實施例可用於控制施加至鰭狀場效電晶體裝置的通道區之應力。此處所述的多種實施例內容中,鰭狀場效電晶體的形成方法採用閘極後製製程。在其他實施例中,可採用閘極優先製程。可由任何合適方法圖案化鰭狀場效電晶體的鰭狀物。舉例來說,可採用一或多道光微影製程圖案化鰭狀物,比如雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距小於採用單一直接的光微影製程所得的圖案間距。舉例來說,一實施例形成犧牲層於基板上,並採用光微影製程圖案化犧牲層。可採用自對準製程,以沿著圖案化的犧牲層側壁形成間隔物。接著移除犧牲層,而保留的間隔物或芯之後可用於圖案化鰭狀物。一些實施例可用於平面裝置如平面場效電晶體。一些實施例可用於裝置如環型振盪器或其他種類的裝置。一些實施例亦可用於場效電晶體以外的半導體裝置。
圖1係鰭狀場效電晶體30的一例之三維圖。鰭狀場效電晶體30包括鰭狀物36於半導體基板32上。鰭狀物36自相鄰的隔離區34之間凸起高於隔離區34,且隔離區34位於半導體基板32的部份上。閘極介電層38沿著鰭狀物36的側壁並位於鰭狀物36的上表面上,而閘極40位於閘極介電層38上。源極/汲極區42與44相對於閘極介電層38與閘極40,位於鰭狀物36的兩側中。圖1亦顯示後續圖式所用的參考剖面。參考剖面A-A越過鰭狀場效電晶體30的通道、閘極介電層38、與閘極40。與參考剖面A-A平行的參考剖面C-C越過通道區之外的鰭狀物36 (比如越過源極/汲極區42)。垂直於參考剖面A-A的參考剖面B-B沿著鰭狀物36的縱軸與流經源極/汲極區42與44之間的電流方向。後續圖式依據這些參考剖面以達清楚目的。
圖2至22C係一些實施例中,鰭狀場效電晶體於形成方法的中間階段之剖視圖。在圖6A到圖14A至14C以及圖17A至17C到圖26A至26C中,圖式末尾為「A」者沿著圖1所示的參考剖面A-A,差別在多個鰭狀場效電晶體與每一鰭狀場效電晶體的多個鰭狀物。圖式末尾為「B」者沿著圖1所示的參考剖面B-B。圖式末尾為「C」者沿著圖1所示的參考剖面C-C。圖2至5沿著圖1所示的參考剖面A-A。圖15與16A至16D沿著圖1所示的參考剖面B-B。
圖2顯示基板50。圖2沿著圖1所示的參考剖面A-A。基板50可為半導體基板如基體半導體、絕緣層上半導體基板、或類似物,其可摻雜(如摻雜p型或n型摻質)或未摻雜。基板50可為晶圓如矽晶圓。一般而言,絕緣層上半導體基板包括半導體材料形成於絕緣層上。舉例來說,絕緣層可為埋置氧化物層、氧化矽層、或類似物。絕緣層形成於基板上,且基板一般為矽基板或玻璃基板。亦可採用其他基板如多層基板或組成漸變基板。在一些實施例中,基板50的半導體材料可包含矽;鍺;半導體化合物如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;半導體合金如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦;或上述之組合。
在一些實施例中,基板50可包含第一區100A與第二區100B。第一區100可用於形成n型裝置如n型金氧半電晶體,比如n型鰭狀場效電晶體。第二區100B可用於形成p型裝置如p型金氧半電晶體,比如p型鰭狀場效電晶體。綜上所述,第一區100A亦可稱作n型金氧半區,而第二區100B亦可稱作p型金氧半區。在一些實施例中,第一區100A與第二區100B可物理分隔。第一區100A與第二區100B可隔有任何數目的結構。
如圖2所示,遮罩53形成於基板50上。在一些實施例中,遮罩53可用於圖案化基板50的後續蝕刻步驟中(見圖3)。如圖2所示,遮罩53可包括第一遮罩層53A與第二遮罩層53B。第一遮罩層53A可為硬遮罩層,其可包含氮化矽、氮氧化矽、碳化矽、碳氮化矽、上述之組合、或類似物,且其形成方法可採用任何合適製程如原子層沉積、物理氣相沉積、化學氣相沉積、上述之組合、或類似方法。第一遮罩層53A亦可包含多層,且多層可為不同材料。舉例來說,第一遮罩層53A可包含氮化矽層於氧化矽層上,但亦可採用其他材料與材料的組合。第二遮罩層53B可包含光阻。在一些實施例中,可採用第二遮罩層53B圖案化第一遮罩層53A,以用於後續蝕刻步驟如上述。可採用旋轉塗佈技術形成第二遮罩層53B,並可採用可接受的光微影技術圖案化第二遮罩層53B。在一些實施例中,遮罩53可包含三個或更多遮罩層。
如圖3所示,形成半導體帶52於基板50中。首先圖案化遮罩53,且第一遮罩層53A與第二遮罩層53B中的開口露出基板50將形成隔離區54 (如淺溝槽隔離區)的區域(見圖5)。接著可進行蝕刻製程,以經由遮罩53中的開口形成溝槽55於基板50中。圖案化的遮罩53下的基板50之保留部份,形成多個半導體帶52。蝕刻可為任何可接受的蝕刻製程,比如反應性離子蝕刻、中性束蝕刻、類似方法、或上述之組合。蝕刻製程可為非等向性。在一些實施例中,半導體帶52的高度H1 可介於約100nm至約300nm之間,而寬度W1 可介於約10nm至約40nm之間。
如圖4所示,形成絕緣材料於相鄰半導體帶52之間的溝槽55 (見圖3)中,以形成隔離區54。絕緣材料可為氧化物如氧化矽、氮化物如氮化矽、類似物、或上述之組合,且其形成方法可為高密度電漿化學氣相沉積、可流動的化學氣相沉積(比如在遠端電漿系統中沉積化學氣相沉積為主的材料,之後進行固化使其轉變成另一材料如氧化物)、類似物、或上述之組合。亦可採用任何可接受的製程所形成的其他絕緣材料。
此外,一些實施例在將隔離區54的絕緣材料填入溝槽55之前,可順應性地形成襯墊層(未圖示)於溝槽55的側壁與下表面上(見圖3)。如此一來,隔離區54可包含襯墊層。在一些實施例中,襯墊層可包含半導體(如矽)的氮化物、半導體(如矽)的氧化物、半導體(如矽)的熱氧化物、半導體(如矽)的氮氧化物、聚合物介電層、上述之組合、或類似物。襯墊層的形成方法可包含任何合適製程,比如原子層沉積、化學氣相沉積、高密度電漿化學氣相沉積、物理氣相沉積、上述之組合、或類似方法。在這些實施例中,襯墊層在後續退火隔離區54時,可避免或至少降低半導體材料自半導體帶52 (如矽及/或鍺)擴散至周圍的隔離區54中。舉例來說,在沉積隔離區54的絕緣材料之後,可在隔離區54的絕緣材料上進行退火製程。
如圖4所示,平坦化製程如化學機械研磨可移除隔離區54的任何多餘絕緣材料,使隔離區54的上表面與半導體帶52的上表面共平面。在一些實施例中,化學機械研磨亦可移除遮罩。在其他實施例中,可採用與化學機械研磨分開的濕蝕刻製程移出遮罩53。
如圖5所示,使隔離區54凹陷以形成鰭狀物56。由於隔離區54凹陷,第一區100A與第二區100B中的鰭狀物56可自相鄰的隔離區54之間凸起。在一些實施例中,半導體帶52可視作鰭狀物56的部份。此外,隔離區54的上表面可為平坦表面如圖示、凸起表面、凹陷表面(如碟化)、或上述之組合。可由合適製程使隔離區54的上表面平坦、凸起、或凹陷。採用可接受的蝕刻製程如對隔離區54的材料具有選擇性的蝕刻製程之一,可使隔離區54凹陷。舉例來說,舉例來說,可採用稀氫氟酸或另一種蝕刻製程移除淺溝槽隔離的氧化物。
本技術領域中具有通常知識者應理解搭配圖2至5說明的製程,僅為如何形成鰭狀物56的一例。在其他實施例中,可形成介電層於基板50的上表面上,可蝕刻溝槽穿過介電層,可磊晶成長同質磊晶結構於溝槽中,並可讓介電層凹陷,使同質磊晶結構自介電層凸起形成鰭狀物。在其他實施例中,異質磊晶結構可作為鰭狀物。舉例來說,可使圖4中的半導體帶52凹陷,並可磊晶成長不同於半導體帶52的材料於凹陷處。在其他實施例中,可形成介電層於基板50的上表面上,可蝕刻溝槽穿過介電層;可磊晶成長不同於基板50的材料之異質磊晶結構於溝槽中,且可讓介電層凹陷,使同質磊晶結構自介電層凸起形成鰭狀物56。在磊晶成長同質磊晶或異質磊晶結構的一些實施例中,可在成長時原位摻雜成長的材料。在其他實施例中,同質磊晶或異質磊晶結構的摻雜方法,可為在磊晶成長同質磊晶或異質磊晶結構之後進行離子佈植。此外,在第一區100A (如n型金氧半區)與第二區100B (如p型金氧半區)中磊晶成長不同的材料具有優點。在多種實施例中,鰭狀物56可包含矽鍺(Six Ge1-x ,其中x可介於0至1之間)、碳化矽、純鍺或實質上純鍺、III-V族半導體化合物、II-VI族半導體化合物、或類似物。舉例來說,用於形成III-V族半導體化合物的可用材料包括但不限於砷化銦、砷化鋁、砷化鎵、磷化銦、氮化鎵、砷化銦鎵、砷化銦鋁、銻化鎵、銻化鋁、磷化鋁、磷化鎵、或類似物。
在圖6A與6B中,虛置介電層58形成於鰭狀物56上。舉例來說,虛置介電層可為f氧化矽、氮化矽、上述之組合、或類似物,且其形成方法可為依據可接受的技術之沉積(比如採用化學氣相沉積、物理氣相沉積、上述之組合、或類似方法)或熱成長(比如熱氧化或類似方法)。在一些例子中,虛置介電層58可形成於鰭狀物56與隔離區54上,接著採用合適的光微影或蝕刻技術移除隔離區54上的虛置介電層58的部份。虛置閘極層60形成於虛置介電層58上,而遮罩62形成於虛置閘極層60上。在一些實施例中,可沉積虛置閘極層60於虛置介電層58上,接著可採用化學機械研磨製程等方法平坦化虛置閘極層60。遮罩62可沉積於虛置閘極層60上。虛置閘極層60的組成可為多晶矽,但亦可採用相對於隔離區54的材料具有高蝕刻選擇性的其他材料。舉例來說,遮罩62可包含一或多層的氮化矽、氮氧化矽、碳化矽、碳氮化矽、類似物、或上述之組合。
如圖6A與6B所示的實施例,以單一沉積步驟各自形成單一的虛置介電層58、單一的虛置閘極層60、與單一的遮罩62於第一區100A與第二區100B上。在其他實施例中,以第一區100A與第二區100B所用的分開沉積步驟,形成分開的虛置介電層、分開的虛置閘極層、與分開的遮罩於第一區100A與第二區100B中。在一些實施例中,虛置介電層58的厚度可介於約0.5nm至約3.0nm之間,而虛置閘極層60的厚度可介於約50nm至約100nm之間。
在圖7A至7C中,可採用可接受的光微影與蝕刻技術圖案化遮罩62 (見圖6A與6B),以形成遮罩72於第一區100A與第二區100B中。遮罩72可為硬遮罩,而第一區100A與第二區100B的遮罩72的圖案可不同。可由可接受的蝕刻技術,將遮罩72的圖案轉移至虛置閘極層60,以形成虛置閘極堆疊70於第一區100A與第二區100B中。虛置閘極堆疊70包含虛置閘極層60與虛置介電層58。在一些實施例中,在分開的製程中形成第一區100A與第二區100B中的虛置閘極層60與遮罩72,且第一區100A與第二區100B中的虛置閘極層60與遮罩72的材料可不同。遮罩72的圖案可視情況類似地轉移至虛置介電層58。虛置閘極堆疊70的圖案覆蓋鰭狀物56的個別通到區,並露出鰭狀物56的源極/汲極區。虛置閘極堆疊70的縱向亦可實質上垂直於個別鰭狀物56的縱向。虛置閘極堆疊70的尺寸或虛置閘極堆疊70之間的間距,可取決於虛置閘極形成其中的晶粒的區域。在一些實施例中,晶粒的輸入/輸出區(比如輸入/輸出電路所在處)中的虛置閘極堆疊70的尺寸或間距,大於晶粒的邏輯區(比如邏輯電路所在處)中的虛置閘極堆疊70的尺寸或間距。在一些實施例中,虛置閘極堆疊70的寬度可介於約10nm至約40nm之間。
在圖8A至8C中,第一間隔物層80A形成於第一區100A與第二區100B上。可採用任何合適的方法形成第一間隔物層80A。在一些實施例中,可採用沉積法如化學氣相沉積、原子層沉積、或類似方法形成第一間隔物層80A。在一些實施例中,第一間隔物層80A可包含一或多層的氧化物材料、氮化矽、氮氧化矽、碳氮化矽、碳氮氧化矽、上述之組合、或類似物。
如圖8A至8C所示,可形成輕摻雜源極/汲極區75於第一區100A與第二區100B中的基板50中。在一些實施例中,可形成遮罩(未圖示)如光阻於第一區100A (如n型金氧半區)上並露出第二區100B (如p型金氧半區),並可佈植p型雜質至露出的鰭狀物56,以產生第二區100B中的輕摻雜源極/汲極區75。接著可移除遮罩。之後可形成第二遮罩(未圖示)如光阻於第二區100B上並露出第一區100A,並可佈植n型雜質至露出的鰭狀物56中以產生第一區100A中的輕摻雜源極/汲極區75。接著可移除第二遮罩。在佈植輕摻雜源極/汲極區75時,虛置閘極堆疊70可作為遮罩以避免(或至少降低)摻質佈植至露出的鰭狀物56之通道區中。因此輕摻雜源極/汲極區75可實質上形成於露出的鰭狀物56之源極/汲極區中。n型雜質可為任何前述的n型雜質,而p型雜質可為任何前述的p型雜質。輕摻雜源極/汲極區75的雜質濃度可各自介於約1013 cm-3 至約1016 cm-3 之間。可進行退火製程以活化佈植的雜質。一些實施例在形成第一間隔物層80A之前,形成輕摻雜源極/汲極區75。
如圖9A至9C所示,在第一間隔物層80A的部份上進行蝕刻製程。蝕刻製程可為乾蝕刻製程,且可為非等向。在進行蝕刻製程之後,可移除輕摻雜源極/汲極區75與隔離區54上的第一間隔物層80A的橫向部份,以露出虛置閘極堆疊70所用的鰭狀物56與遮罩72。可保留沿著虛置閘極堆疊70與鰭狀物56的側壁之第一間隔物層80A的部份,以形成偏離間隔物120。在其他實施例中,亦可自鰭狀物56的側壁移除第一間隔物層80A。在一些實施例中,同時形成第一區100A中的偏離間隔物120與第二區100B中的偏離間隔物120。在其他實施例中,可由分開的製程形成第一區100A中的偏離間隔物120與第二區100B中的偏離間隔物120。在一些實施例中,可移除輕摻雜源極/汲極區75及隔離區54上的虛置介電層58的橫向部份。
在圖10A至10C中,第二間隔物層80B與第三間隔物層80C形成於第一區100A與第二區100B上。可採用形成第一間隔物層80A的任何合適方法。在一些實施例中,可採用沉積法如化學氣相沉積、原子層沉積、或類似方法形成第二間隔物層80B或第三間隔物層80C。在一些實施例中,第二間隔物層80B或第三間隔物層80C可包含一或多層的氧化物材料、氮化矽、氮氧化矽、碳氮化矽、碳氮氧化矽、上述之組合、或類似物。在一些實施例中,可省略第二間隔物層80B或第三間隔物層80C。
如圖11A至11C所示,進行圖案化製程以移除第一區100A中的第二間隔物層80B與第三間隔物層80C的部份。上述步驟可採用任何可接受的圖案化製程。在一些實施例中,形成遮罩118於第一區100A與第二區100B上。遮罩118可為單層或多層。在一些例子中,遮罩118可包含光阻,但遮罩118亦可包含其他材料。圖案化遮罩118以露出第一區100A。可採用合適的光微影技術圖案化遮罩118。
如圖11A至11C所示,採用遮罩118作為遮罩,在第二間隔物層80B與第三間隔物層80C的部份上進行蝕刻製程。蝕刻製程可為乾蝕刻製程,且可為非等向。在進行乾蝕刻製程之後,可移除隔離區54上的第二間隔物層80B與第三間隔物層80C的橫向部份,以露出鰭狀物56與遮罩72的上表面。第二間隔物層80B與第三間隔物層80C的部份可沿著虛置閘極堆疊70與鰭狀物56的側壁保留,以形成閘極間隔物122與鰭狀物的間隔物130。在一些實施例中,可同時形成第一區100A與第二區100B中的閘極間隔物122與鰭狀物的間隔物130。在其他實施例中,可在形成第一區100A中的閘極間隔物122與鰭狀物的間隔物130之前,先形成第二區100B中的閘極間隔物122與鰭狀物的間隔物130。一些實施例可在形成第三間隔物層80C之前蝕刻第二間隔物層80B如上述,接著可蝕刻第三間隔物層80C以形成閘極間隔物122與鰭狀物的間隔物130。
如圖12A至12C所示,在鰭狀物56上進行圖案化製程以形成凹陷128於鰭狀物56的源極/汲極區中。圖案化製程的進行方式,可讓凹陷128形成於鰭狀物56的內部區域中相鄰的虛置閘極堆疊70之間如圖12B所示,或形成於鰭狀物56的末端區域中隔離區54與相鄰的虛置閘極堆疊70之間。在一些例子中,凹陷128可橫向延伸於閘極間隔物122下。凹陷128之間的鰭狀物56的區域,可形成鰭狀物56的通道區57。在一些實施例中,圖案化製程可包含合適的非等向乾蝕刻製程,並採用虛置閘極堆疊70、閘極間隔物122、及/或隔離區54作為組合遮罩。合適的非等向乾蝕刻製程可包含反應性離子蝕刻、中性束蝕刻、類似方法、或上述之組合。在圖案化製程採用反應性離子蝕刻的一些實施例中,可選擇製程參數如製程氣體混合物、偏壓、與射頻功率,使蝕刻主要的機制為物理蝕刻如離子轟擊,而非化學蝕刻如經由化學反應的自由基蝕刻。在一些實施例中,可增加偏壓以增加離子轟擊製程中的離子能量,因此增加物理蝕刻速率。由於物理蝕刻原本屬非等向,而化學蝕刻原本屬等向,此蝕刻製程在垂直方向的蝕刻速率大於在水平方向的蝕刻速率。在一些實施例中,非等向蝕刻製程可採用的製程氣體混合物包括氟化甲烷、甲烷、溴化氫、氧氣、氬氣、氯氣、上述之組合、或類似物。在一些實施例中,蝕刻製程採用的氣體源具有約5體積%至約30體積%的溴化氫,以及約10體積%至約30體積%的氯氣。在一些實施例中,施加的偏壓介於約0kV至約0.5kV之間。在一些實施例中,蝕刻製程的溫度介於約20℃至約50℃之間。在一些實施例中,蝕刻製程的壓力介於約6mTorr至約20mTorr之間。在一些實施例中,形成凹陷128所用的圖案化製程亦可蝕刻隔離區(如圖12C至26C所示的虛線),或蝕刻遮罩72、閘極間隔物122、或鰭狀物的間隔物130的部份。
如圖13A至13C所示,緩衝層96形成於閘極間隔物122上、形成於虛置閘極堆疊70上、以及形成於凹陷128中。緩衝層96亦可形成於其他表面上如毯狀沉積。為了清楚目的,圖13A至13C與後續圖式只顯示第一區100A,但第二區100B亦進行類似製程。緩衝層96可為介電層,且可包含材料如氧化矽、另一種氧化物、氮化矽、另一種氮化物、類似物、或上述之組合。在一些實施例中,緩衝層96可為黏著層或阻障層,且可包含多層或多種材料。在一些實施例中,可順應性地沉積緩衝層96,且其厚度可介於約0.5nm至約5nm之間。緩衝層96的形成方法可為任何合適方法如原子層沉積、化學氣相沉積、高密度電漿化學氣相沉積、物理氣相沉積、上述之組合、或類似方法。在一些例子中,緩衝層96的存在可改善鰭狀物56的通道區57或其他區域上的應力材料98之黏著性,如下詳述。在一些實施例中,可省略緩衝層96。
如圖14A至14C所示,形成應力材料98於緩衝層96上。為使說明清楚,圖14A至14C或後續圖式未顯示緩衝層96。應力材料98可形成於凹陷128中、形成於鰭狀物56的表面上、或形成於半導體帶52的表面上。在此方式中,應力材料98可形成於鰭狀物56的通道區57之表面(如側壁)上。應力材料98亦可形成於閘極間隔物122、虛置閘極堆疊70、或其他表面上。可沉積應力材料98以填入所有凹陷128 (如圖14B所示),或填入凹陷128的一些部份。相鄰的虛置閘極堆疊70上的應力材料98可合併(如圖14B所示)或可隔有間隙。在一些例子中,合併的應力材料98可沿著合併邊界的部份形成縫隙101,如圖14B所示的一例。在一些例子中,合併的應力材料98可形成一或多個氣隙或空洞103於合併邊界,如圖14B所示。在一些實施例中,應力材料98的厚度可介於約15nm至約30nm之間。
應力材料98可包含一或多種材料,其可用於提供應力於鰭狀物56上,如下詳述。在一些實施例中,應力材料98可包含提供拉伸應力於鰭狀物56上的材料,比如氮化矽、碳氮化矽、類似物、或上述之組合。在一些實施例中,應力材料98可包含提供壓縮應力於鰭狀物56上的材料。在一些例子中,氮化矽的形成方式使其提供壓縮應力於鰭狀物56上。在一些實施例中,應力材料98可沉積如多孔材料,比如多孔氮化矽材料。應力材料98的形成方法可為任何合適方法,比如原子層沉積、電漿增強原子層沉積、化學氣相沉積、高密度電漿化學氣相沉積、物理氣相沉積、上述之組合、或類似方法。
在一些實施例中,採用原子層沉積製程成長含氮化矽的應力材料98,以提供拉伸應力於鰭狀物56上,如形成n型鰭狀場效電晶體於第一區100A中的方法之一部份。舉例來說,原子層沉積製程可包含製程氣體如二氯矽烷、氨、其他前驅物氣體、或其他氣體。其他氣體亦可作為淨化氣體,包含氮氣、氬氣、氙氣、或其他氣體。在一些實施例中,原子層沉積製程的溫度可介於約300℃至約350℃之間。在一些實施例中,採用二氯矽烷作為製程氣體的原子層沉積循環步驟,其製程壓力介於約1torr至約4torr之間,且流速介於約500sccm至約5000sccm之間。在一些實施例中,採用氨作為製程氣體的原子層沉積循環步驟,其製程壓力介於約0.1torr至約0.5torr之間,且流速介於約500sccm至約10000sccm之間。在一些實施例中,原子層沉積製程時的氨總流量,可小於原子層製程時採用的其他前驅物氣體(如二氯矽烷)的總流量的十倍。在一些實施例中,在二氯矽烷的步驟與氨的步驟之間進行的淨化步驟,可包括使介於約500sccm至約20000sccm之間的淨化氣體流入約6秒鐘或更短時間。上述為例示性的製程條件,而其他實施例可採用其他製程條件。在一些例子中,降低製程溫度、減少氨流、或減少製程氣體流入的時間,可增加後續應力材料98在鰭狀物56上產生的應力,如下詳述。
如圖15所示,在應力材料98上進行退火製程84。舉例來說,退火製程84可包含高溫退火製程及/或紫外線固化製程。退火製程84使應力材料98膨脹(以提供壓縮應力於鰭狀物56上)或收縮(以提供拉伸應力於鰭狀物56上)。在一些例子中,由於退火製程84破壞應力材料98中原子的鍵結,應力材料98可膨脹或收縮。在一些實施例中,退火製程84包括高溫退火,其溫度介於約800℃至約1000℃之間,並歷時約0.5小時至約2小時之間。可採用快速熱退火腔室、爐、或其他合適系統進行高溫退火。在一些實施例中,退火製程包括紫外線固化製程,其溫度介於約500℃至約700℃之間,且歷時2小時至約5小時。在一些實施例中,紫外線源的功率介於約50瓦至約500瓦之間。在一些例子中,可在氣態氛圍如含氮氣、氬氣、氫氣、類似物、或上述之組合中進行退火製程84。在一些實施例中,氣體如氮氣流入退火系統中的流速可介於約500sccm至約20000sccm之間。這些例示性的退火製程可單獨使用或與組合使用以用於退火製程84,且其他種類的退火或具有其他參數的退火仍屬本發明實施例的範疇。
在一些實施例中,可控制退火製程84的參數,以控制應力材料98提供的應力量。舉例來說,暴露應力材料98至較高溫度,會使應力材料98產生更多膨脹或收縮,因使增加提供的應力量。在另一例中,在退火製程84時採用較高溫度,亦可使應力材料98提供較大應力。因此控制應力材料98的沉積參數(如前述)與退火製程84的參數,可控制應力材料98所提供的應力。以氮化矽的應力材料98為例,退火製程84可使應力材料98的濃度介於0體積%至約10體積%之間,比如約3體積%。在一些例子中,增加退火製程84的溫度或時間如上述,可增加氮化矽的應力材料98的濃度。在此方式中,可由應力材料98施加介於0Gpa至約4.0GPa之間的應力於半導體的鰭狀物56上。舉例來說,氮化矽的應力材料98可提供高達約4.0GPa的拉伸應力於鰭狀物56的通道區57上。此外,控制應力材料98的形成及/或退火製程84如上述,可控制提供至鰭狀物56的通道區57之應力量,因此可控制鰭狀物56的通道區57中的載子移動率。藉由沉積應力材料98於凹陷128中,應力材料98可形成於鰭狀物56的側壁上,因此可提供更多直接應力至通道區57,並提供應力於通道區57的更多區域上。在一些實施例中,應力材料98亦可提供應力至通道區57下的鰭狀物56。藉由採用與通道區57相鄰的應力材料98如此處所述,通道區57可具有更多應力。舉例來說,藉由採用此處所述的應力材料98,可提供大於2.5GPa的應力至通道區57。在此方式中,可因較大應力額外改善通道區57中的載子移動率。在一些例子中,較高應力(比如大於約2.5GPa)可扭曲鰭狀物56的一部份中的晶格。在一些例子中,晶格扭曲可垂直延伸於鰭狀物56中。在一些實施例中,應力造成鰭狀物56的晶格扭曲長度,可介於凹陷深度的約50%至約90%之間。
在一些實施例中,應力材料98可具有多層。多層可為不同材料,或採用不同製程條件所形成的相同材料。應力材料98的不同層可提供不同應力至鰭狀物56,並可控制應力材料98的不同層的特性以控制鰭狀物56上的應力。在一些實施例中,可由此方式控制鰭狀物56上不同位置的應力。以氮化矽的應力材料98為例,可在不同溫度下形成氮化矽的多層,使氮化矽的每一層可提供不同應力量至鰭狀物56。在一些例子中,應力材料98可包含提供拉伸應力的一或多層,及/或提供壓縮應力的一或多層。在一些例子中,氮化矽層可提供拉伸應力或壓縮應力,端視形成氮化矽層所用的製程而定。在形成單層的應力材料98之後或在形成多層的應力材料98之後,可進行退火製程84。在一些實施例中,應力材料98的不同層可形成於晶圓或裝置的不同區上。舉例來說,應力材料98的第一層可形成於凹陷128中,但應力材料的第二層可只形成於一些凹陷128中的第一層上。在一些實施例中,可採用類似製程形成第一區100A中的n型鰭狀場效電晶體與第二區100B中的p型鰭狀場效電晶體。舉例來說,在第一區100A中可選擇應力材料98、形成應力材料98的方法參數、與退火製程84的參數,以提供拉伸應力至第一區100A中的n型鰭狀場效電晶體的鰭狀物56上。在第二區100B中,可選擇應力材料98、形成應力材料98的方法參數、與退火製程84的參數,以提供壓縮應力至第二區100B中的p型鰭狀場效電晶體的鰭狀物56上,因此上述材料與參數的選擇不同於第一區100A中所用的材料與參數的選擇。這些內容僅為說明性的例子,且其他材料、製程、或設置仍屬本發明實施例的範疇。
如圖16A至16D所示的例示性實施例,形成應力材料的多層。圖16A至16D顯示鰭狀場效電晶體裝置的剖視圖,其與圖15及其他處所示者類似。在圖16A中,形成應力材料的第一層98A。第一層98A的形成方法可採用第一組製程條件(如溫度、材料、厚度、結晶方向、或類似條件)。在圖16B中,進行第一退火製程84A。第一退火製程84A可具有第一組退火條件(如溫度、歷時、技術、或類似條件)。在第一退火製程84A之後,第一層98A可提供應力至鰭狀物56。在一些實施例中,在形成第一層98A之後,可自一些區域移除一些或全部的第一層98A,且移除步驟可在第一退火製程84A之前或之後。在圖16C中,應力材料的第二層98B形成於第一層98A上。第二層的形成方法可採用第二組沉積製程條件(如溫度、材料、厚度、結晶方向、或類似條件),其可與第一組沉積製程條件不同或相同。舉例來說,第二層可與第一層的材料相同或不同。在一例中,第一層與第二層均可為氮化矽,但形成第一層的氮化矽所採用的沉積製程條件,不同於形成第二層的氮化矽所採用的沉積製程條件。在圖16D中,進行第二退火製程84B。第二退火製程84B可具有第二組退火條件(如溫度、歷時、技術、或類似條件),其可與第一組退火條件相同或不同。在第二退火製程84B之後,除了第一層98A,第二層98B亦可提供應力至鰭狀物56,在一些實施例中,第二層98B提供的應力可與第一層98A類似,或者可抵消或減少來自第一層98A的應力。在一些實施例中,第二層98B可只形成於第一層98A的一些區域上。舉例來說,第二層98B可形成於一些裝置上,而不形成於其他裝置上。在一些實施例中,在形成第二層98B之後可自一些區域移除一些或全部的第二層98B,且移除步驟可在第二退火製程84B之前或之後。在其他實施例中,可採用超過兩個應力材料層。這些僅為舉例,而其他實施例仍屬於本發明範疇中。
如圖17A至17C所示,在圖15所示的退火製程84之後,可移除應力材料98的部份。一些應力材料98可保留於凹陷128中,因此在後續製程時可提供應力至鰭狀物56。在此方式中,後續製程中的鰭狀物56之應力衰退較少,且可改善鰭狀物的「應力記憶」。可移除應力材料98的部份,使保留的應力材料98近似於齊平鰭狀物56的頂部,如圖17B所示。在一些實施例中,保留的應力材料98可延伸高於鰭狀物56的頂部。在一些實施例中,可移除應力材料98,使保留的應力材料98低於鰭狀物56的頂部。在一些實施例中,自一些凹陷128移除應力材料98的量,不同於自其他凹陷128移除應力材料98的量。舉例來說,可自需要較少應力的區域移除較多應力材料98。在一些例子中,可自一些區域完全移除應力材料98。可採用化學機械研磨製程、乾蝕刻製程、濕蝕刻製程、或其他技術移除應力材料98。在一些實施例中,可採用化學機械研磨製程與濕蝕刻製程的組合移除應力材料98。在一些實施例中,可先進行化學機械研磨再進行蝕刻製程。
如圖18A至18C所示,蝕刻停止層87與層間介電層88沉積於虛置閘極堆疊70與應力材料98上。在一實施例中,層間介電層88為可流動的化學氣相沉積所形成的可流動膜。在一些實施例中,層間介電層88的組成為介電材料如磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、或類似物,且其沉積方法可為任何合適方法如化學氣相沉積、電漿增強化學氣相沉積、上述之組合、或類似方法。在一些實施例中,在圖案化層間介電層88以形成後續形成接點所用的開口時,蝕刻停止層87可作為停止層。綜上所述,蝕刻停止層87所用的材料,可比層間介電層88的材料具有更低的蝕刻速率。
如圖19A至19C所示,形成遮罩89於層間介電層88上,再圖案化遮罩89。圖案化遮罩89以露出層間介電層88將被蝕刻的區域,以露出之後將被移除的應力材料98。遮罩89可為硬遮罩,其可包含一或多層的氮化矽、氮氧化矽、碳化矽、碳氮化矽、類似物、或上述之組合。在一些實施例中,遮罩89的組成可為光阻材料或另一材料。可採用可接受的光微影與蝕刻技術圖案化遮罩89。在此例示性的實施例中,形成層間介電層88之後立刻形成遮罩89與蝕刻層間介電層88。然而在其他實施例中,可在形成層間介電層88之後與形成遮罩89之前進行其他製程步驟。舉例來說,其他製程步驟可包含化學機械研磨製程、虛置閘極置換步驟、閘極接點形成步驟、或類似步驟。
如圖20A至20C所示,形成開口於層間介電層88中,並移除開口露出的一些或全部應力材料98。移除應力材料98,可露出與鰭狀物56相鄰的凹陷128。在一些例子中,即使在移除應力材料98之後,應力材料98提供的一些應力仍可保留於鰭狀物56中。在一些例子中,可先移除遮罩89所露出的層間介電層88,且移除步驟止於蝕刻停止層87上。可採用合適的蝕刻製程如非等向乾蝕刻製程移除層間介電層88。接著可採用一或多個合適的蝕刻製程如乾蝕刻製程、濕蝕刻製程、或上述之組合移除應力材料98。在一些例子中,可在相同蝕刻製程中移除蝕刻停止層87與應力材料98。自凹陷128移除所有的應力材料98,接著可成長磊晶的源極/汲極區82於凹陷128中,如下詳述。
在一些實施例中,保留應力材料98的一部份於凹陷128中,且在一或多個後續步驟中移除應力材料98的保留部份。在一些實施例中,可自一些凹陷128完全移除應力材料98,並保留一些或所有的應力材料98於其他凹陷128中。在一些例子中,留下保留的應力材料98,之後再移除應力材料98的作法,可降低提供至鰭狀物56 (與保留的應力材料98相鄰)的應力記憶衰退。在一些例子中,可保留一些應力材料98以降低施加至相鄰鰭狀物56上的應力。舉例來說,藉由只移除應力材料98的一部份,相鄰的鰭狀物56上的應力可自約1.0GPa降低至約0.5GPa。上述僅為舉例,且其他例子可存在不同應力量。
如圖21A至21C所示,形成磊晶的源極/汲極區82於第一區100A的凹陷128中。在一些實施例中,可採用有機金屬化學氣相沉積、分子束磊晶、液相磊晶、氣相磊晶、選擇性磊晶成長、上述之組合、或類似方法,以磊晶成長磊晶的源極/汲極區82於凹陷128中。磊晶的源極/汲極區82可包含任何可接受的材料,比如任何適用於n型鰭狀場效電晶體的材料。舉例來說,若鰭狀物56為矽,則磊晶的源極/汲極區82可包含矽、碳化矽、碳磷化矽、磷化矽、上述之組合、或類似物。磊晶的源極/汲極區82可具有自鰭狀物56的個別表面隆起的表面,並可具有晶面。在一些實施例中,磊晶的源極/汲極區82可延伸越過鰭狀物56至半導體帶52中。在一些實施例中,磊晶的源極/汲極區82可延伸高於鰭狀物56的上表面。在一些例子中,可不完全移除應力材料98的部份,並在形成磊晶的源極/汲極區82之後保留應力材料98的部份於一或多個凹陷中。
磊晶的源極/汲極區82亦可形成於第二區100B中的凹陷128中(未圖示)。在一些實施例中,形成磊晶的源極/汲極區82於第二區100B中所採用的方法,可與形成磊晶的源極/汲極區82於第一區100A中的方法類似。可採用有機金屬化學氣相沉積、分子束磊晶、液相磊晶、氣相磊晶、選擇性磊晶成長、上述之組合、或類似方法,以磊晶成長磊晶的源極/汲極區82於第二區100B中。第二區100B中磊晶的源極/汲極區82可包含任何可接受的材料,比如適用於p型鰭狀場效電晶體的任何材料。舉例來說,若鰭狀物56為矽,則磊晶的源極/汲極區82可包含矽鍺、硼化矽鍺、鍺、鍺錫、上述之組合、或類似物。
如圖22A至22C所示,形成接點104至磊晶的源極/汲極區82。在此例示性的實施例中,在形成磊晶的源極/汲極區82之後即形成接點104。然而在其他實施例中,可在形成磊晶的源極/汲極區82之後與形成接點104之前,進行其他製程步驟。舉例來說,一些實施例在形成接點104之前,形成接點蝕刻停止層於磊晶的源極/汲極區82上。在其他實施例中,可在形成接點104之前使磊晶的源極/汲極區82凹陷。在一些實施例中,額外製程步驟可包含化學機械研磨製程、虛置閘極置換製程、閘極接點形成製程、與類似製程。
在一些實施例中,可形成襯墊層(如擴散阻障層、黏著層、或類似物)與導電材料於層間介電層88的開口中及磊晶的源極/汲極區82上,以形成接點104。襯墊層可包含鈦、氮化鈦、鉭、氮化鉭、或類似物。導電材料可為銅合金、銀、金、鎢、鋁、鎳、或類似物。可進行平坦化製程如化學機械研磨,以自層間介電層88的上表面移除多餘材料。保留的襯墊層與導電材料可形成接點104於開口中。在一些實施例中,可在沉積襯墊層之前,形成矽化物(未圖示)於磊晶的源極/汲極區82與接點104之間的界面。接點104物理與電性耦接至磊晶的源極/汲極區82。
如圖23A至23C所示,可進行平坦化製程如化學機械研磨,使層間介電層88的上表面、接點104的上表面、與虛置閘極堆疊70的上表面齊平。在平坦化製程後,虛置閘極堆疊70的上表面自層間介電層88露出。在一些實施例中,化學機械研磨亦可移除虛置閘極堆疊70上的遮罩72或其部份。
如圖24A至25C所示的一實施例,移除虛置閘極堆疊70並形成置換閘極93。在其他實施例中,可在形成磊晶的源極/汲極區82及/或接點104之前,移除虛置閘極堆疊70並形成置換閘極93。如圖24A至24C所示,以一或多道蝕刻製程移除虛置閘極堆疊70與遮罩72的保留部份,以形成凹陷90。每一凹陷90露出個別鰭狀物56的通道區57。每一通道區57位於第一區100A中相鄰的一對磊晶的源極/汲極區82之間,或形成於第二區100B中相鄰的一對磊晶的源極/汲極區82之間(未圖示)。在蝕刻虛置閘極堆疊70的移除步驟時,虛置介電層58可作為蝕刻停止層。在移除虛置閘極堆疊70之後,接著可移除虛置介電層58。
如圖25A至25C所示,形成置換閘極93所用的閘極介電層92與閘極填充層94於第一區100A與第二區100B中。可順應性地沉積閘極介電層92於凹陷90中,使其位於鰭狀物56的上表面與側壁上、閘極間隔物122與鰭狀物的間隔物130之側壁上,以及層間介電層88的上表面上。在一些實施例中,閘極介電層92可包含氧化矽、氮化矽、或上述之多層。在其他實施例中,閘極介電層92包括高介電常數的介電材料,且這些實施例中的閘極介電層92的介電常數大於約7.0,其可包含鉿、鋁、鋯、鑭、鎂、鋇、鈦、鉛、或上述之組合的金屬氧化物或矽酸鹽。閘極介電層92的形成方法可包含分子束沉積、原子層沉積、電漿增強化學氣相沉積、上述之組合、或類似方法。
接著沉積閘極填充層94於閘極介電層92上。閘極填充層94可填入凹陷90的剩餘部份。閘極填充層94的組成可為含金屬材料如氮化鈦、氮化鉭、碳化鉭、鈷、釕、鋁、銀、金、鎢、鎳、鈦、銅、上述之組合、或上述之多層。舉例來說,雖然圖式中的閘極填充層94為單一材料,但可沉積任何數目的功函數層於凹陷90中。在形成閘極填充層94之後,可進行平坦化製程如化學機械研磨,以移除層間介電層88的上表面上的閘極介電層92與閘極填充層94的多餘部份。因此閘極介電層92與閘極填充層94最終保留的部份,形成最終鰭狀場效電晶體的置換閘極93。
在一些實施例中,可同時形成第一區100A與第二區100B的閘極介電層92,使個別的閘極介電層92可由相同材料組成。亦可同時形成閘極填充層94,使第一區100A與第二區100B中個別的閘極填充層94可由相同材料組成。然而在其他實施例中,第一區100A與第二區100B中個別的閘極介電層92可由分開的製程形成,使第一區100A與第二區100B中個別的閘極介電層92可由不同材料組成。第一區100A與第二區100B中的閘極填充層94的形成方法可為分開的製程,使第一區100A中與第二區100B中的閘極填充層94可由不同材料組成。在採用分開製程形成閘極填充層94時,可採用多種遮罩步驟遮罩並露出適當的區域。
如圖26A至26C所示,層間介電層102沉積於層間介電層88上。可形成接點108穿過層間介電層102與層間介電層88,以連接接點104與磊晶的源極/汲極區82。亦可形成接點110穿過層間介電層102,以連接至置換閘極93。在一實施例中,層間介電層102與材料與形成方法,與搭配圖18A至18C說明的層間介電層88的材料與形成方法類似,此處不重複說明以簡化內容。在一些實施例中,層間介電層102與層間介電層88的組成為相同材料。在其他實施例中,層間介電層102與層間介電層88的組成為不同材料。
形成接點108與接點110所用的開口穿過層間介電層102。可在相同製程中同時形成所有開口,或在不同製程中形成這些開口。可採用可接受的光微影與蝕刻技術形成開口。接著形成導電材料於開口中。在一些實施例中,可在形成導電材料之前形成襯墊層。導電材料可為銅、銅合金、銀、金、鎢、鋁、鎳、或類似物。可進行平坦化製程如化學機械研磨,以自層間介電層102的上表面移除多餘材料。接點108可電性耦接至磊晶的源極/汲極區82,且接點110物理及電性耦接至置換閘極93。雖然圖26B中的接點108與接點110在相同剖面中,此圖式僅用以舉例說明。在一些實施例中,接點108與接點110位於不同剖面中。
圖27係一些實施例中,形成鰭狀場效電晶體裝置的方法2000之流程圖。方法2000一開始的步驟2001圖案化基板(如圖2所示的基板50)以形成帶狀物(如圖3所示的半導體帶52),如搭配圖2與3說明的上述內容。在步驟2003中,形成隔離區(如圖5所示的隔離區54)於相鄰的帶狀物之間,如搭配圖4與5說明的上述內容。在步驟2005中,形成虛置閘極堆疊(如圖7A與7B所示的虛置閘極堆疊70)於帶狀物上,如搭配圖6A與6B及圖7A至7C說明的上述內容。在步驟2007中,在帶狀物上進行蝕刻製程以形成凹陷(如圖12B所示的凹陷128)於帶狀物中,如搭配圖12A至12C說明的上述內容。在步驟2009中,形成應力材料(如圖14A至14C所示的應力材料98)於凹陷中,如搭配圖14A至14C說明的上述內容。在步驟2011中,形成介電材料(如圖18A至18C所示的層間介電層88)於應力材料上,如搭配圖18A至18C說明的上述內容。在步驟2013中,在介電材料上進行蝕刻製程,以形成開口(如圖20B與20C所示的層間介電層88中的開口)於介電材料中,如搭配圖20A至20C說明的上述內容。在步驟2015中,自帶中的凹陷(如圖20B與20C所示的凹陷128)移除應力材料,如搭配圖20A至20C說明的上述內容。在一些實施例中,步驟2015可為多個分開的步驟,且在多個分開的步驟之每一者之間可進行其他步驟。在步驟2017中,磊晶成長源極/汲極區(如圖21B與21C所示之磊晶的源極/汲極區82)於開口中,如搭配圖21A至21C說明的上述內容。在步驟2019中,形成接點(如圖22B與22C所示的接點104)於磊晶的源極/汲極區上,如搭配圖22A至22C說明的上述內容。在步驟2021中,形成置換閘極堆疊(如圖25A與25B所示的置換閘極93)於帶狀物上,如搭配圖24A至25C說明的上述內容。在一些實施例中,可在每一步驟2013至2109之間進行其他步驟。舉例來說,可在步驟2021之後進行步驟2013至2019。此方法2000僅為例示性的實施例,且與上述不同的其他製程步驟或不同製程步驟仍屬本發明實施例的範疇。
此處所述的多種實施例可用於改善鰭狀場效電晶體效能。此處所述的技術以應力改善鰭狀場效電晶體裝置中的載子移動率。舉例來說,藉由形成應力材料於鰭狀物側壁上,可提供更多應力至鰭狀物,以進一步增加鰭狀物中的載子移動率。在一些例子中,提供至鰭狀物的應力量可大於2.5GPa。此外,在移除應力材料之前,應力材料可保留於鰭狀物上持續多個後續製程步驟,其可增進應力記憶效應,並在移除應力材料之後降低應力衰退量。此處所述的技術可用於提供不同種類的應力至鰭狀物。此外,可控制應力材料的特性、控制應力材料的退火、控制一或多個移除步驟所移除的應力材料量、或者採用應力材料的多層,以控制鰭狀物上的應力量與應力輪廓。此處所述的技術可提供製程彈性,因為可在不同製程步驟中移除應力材料再形成磊晶的源極/汲極區及接點,端視應用而定。舉例來說,在形成應力材料之後,可在任何後續製程步驟之後移除應力材料。舉例來說,可在不同區中形成不同特性的應力材料,或在不同區中移除不同量的應力材料,以提供不同應力量至不同組的鰭狀物。
在一實施例中,方法包括形成鰭狀物於基板上;形成虛置閘極結構於鰭狀物上;移除與虛置閘極結構相鄰的鰭狀物的一部份,以形成第一凹陷;沉積應力材料於第一凹陷中;自第一凹陷移除應力材料的至少一部份;以及在移除應力材料的至少一部份之後,磊晶成長源極/汲極區於第一凹陷中。在一實施例中,方法更包括在應力材料上進行退火製程。在一實施例中,沉積應力材料於第一凹陷中的步驟包括:沉積第一應力材料於第一凹陷中;在沉積第一應力材料之後,進行第一退火製程;沉積第二應力材料於第一應力材料上;以及在沉積第二應力材料之後,進行第二退火製程。在一實施例中,自第一凹陷移除應力材料的至少一部份之步驟包括:採用第一蝕刻製程移除應力材料的第一部份;以及採用第二蝕刻製程移除應力材料的第二部份。在一實施例中,方法更包括形成介電層於應力材料上;以及形成開口於介電層中,並經由介電層中的開口磊晶成長源極/汲極區。在一實施例中,方法更包括經由介電層中的開口,形成接點至源極/汲極區。在一些實施例中,方法更包括在形成接點之後,移除虛置閘極結構並形成置換閘極結構於鰭狀物上。在一實施例中,應力材料提供於鰭狀物上的應力量介於約2.5GPa至約4.0GPa之間。在一實施例中,方法更包括在沉積應力材料之前,形成緩衝層於第一凹陷中。
在一實施例中,方法包括圖案化基板以形成帶狀物,且帶狀物包括第一半導體材料;沿著帶狀物的側壁形成隔離區,且帶狀物的上側部份延伸高於隔離區的上表面;沿著帶狀物的上側部份的第一上表面與側壁形成虛置閘極結構;在帶狀物上進行第一蝕刻製程,以形成第一凹陷於與虛置閘極結構相鄰的帶狀物中;形成第一介電材料於第一凹陷中;在第一介電材料上進行退火製程,在退火製程後的第一介電材料提供應力至第一凹陷的側壁;自第一凹陷移除第一介電材料;以及磊晶成長源極/汲極區於第一凹陷中。在一實施例中,第一介電材料提供拉伸應力。在一實施例中,方法更包括形成第二介電材料於第一介電材料與虛置閘極結構上。在一實施例中,第一介電材料包括氮化矽。在一實施例中,第一介電材料的形成製程溫度介於約300℃至約350℃之間。在一實施例中,退火製程的溫度介於約800℃至約1000℃之間。在一實施例中,方法更包括形成氧化物層於第一凹陷中,其中第一介電材料形成於氧化物層上。在一實施例中,磊晶成長源極/汲極區於第一凹陷中之後,保留第一介電層的一部份於第一凹陷中。
在一實施例中,半導體裝置包括:第一半導體鰭狀物,位於基板上,且第一半導體鰭狀物包括通道區以及與通道區相鄰的凹陷;閘極堆疊,位於第一半導體鰭狀物的通道區上,其中第一半導體鰭狀物的通道區具有的應力介於約2.5GPa至約4.0GPa之間;以及磊晶區,位於凹陷中。在一實施例中,第一半導體鰭狀物低於通道區的區域之應力介於約2.5GPa至約4.0GPa之間。在一實施例中,應力為拉伸應力。在一實施例中,第一半導體鰭狀物的晶格扭曲之垂直延伸距離,介於凹陷的垂直深度的約50%至約90%之間。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
A-A、B-B、C-C‧‧‧參考剖面 H1‧‧‧高度 W1‧‧‧寬度 30‧‧‧鰭狀場效電晶體 32‧‧‧半導體基板 34‧‧‧隔離區 36‧‧‧鰭狀物 38‧‧‧閘極介電層 40‧‧‧閘極 42、44‧‧‧源極/汲極區 50‧‧‧基板 52‧‧‧半導體帶 53、62、72、89、118‧‧‧遮罩 53A‧‧‧第一遮罩層 53B‧‧‧第二遮罩層 54‧‧‧隔離區 55‧‧‧溝槽 56‧‧‧鰭狀物 57‧‧‧通道區 58‧‧‧虛置介電層 60‧‧‧虛置閘極層 70‧‧‧虛置閘極堆疊 75‧‧‧輕摻雜源極/汲極區 80A‧‧‧第一間隔物層 80B‧‧‧第二間隔物層 80C‧‧‧第三間隔物層 82‧‧‧源極/汲極區 84‧‧‧退火製程 84A‧‧‧第一退火製程 84B‧‧‧第二退火製程 87‧‧‧蝕刻停止層 88、102‧‧‧層間介電層 90、128‧‧‧凹陷 92‧‧‧閘極介電層 93‧‧‧置換閘極 94‧‧‧閘極填充層 96‧‧‧緩衝層 98‧‧‧應力材料 98A‧‧‧第一層 98B‧‧‧第二層 100A‧‧‧第一區 100B‧‧‧第二區 101‧‧‧縫隙 103‧‧‧空洞 104、108、110‧‧‧接點 120‧‧‧偏離間隔物 122‧‧‧閘極間隔物 130‧‧‧鰭狀物的間隔物 2000‧‧‧方法 2001、2003、2005、2007、2009、2011、2013、2015、2017、2019、2021‧‧‧步驟
圖1係一些實施例中,鰭狀場效電晶體裝置的透視圖。 圖2係一些實施例中,鰭狀場效電晶體裝置於形成方法的中間階段之剖視圖。 圖3係一些實施例中,鰭狀場效電晶體裝置於形成方法的中間階段之剖視圖。 圖4係一些實施例中,鰭狀場效電晶體裝置於形成方法的中間階段之剖視圖。 圖5係一些實施例中,鰭狀場效電晶體裝置於形成方法的中間階段之剖視圖。 圖6A與6B係一些實施例中,鰭狀場效電晶體裝置於形成方法的中間階段之剖視圖。 圖7A至7C係一些實施例中,鰭狀場效電晶體裝置於形成方法的中間階段之剖視圖。 圖8A至8C係一些實施例中,鰭狀場效電晶體裝置於形成方法的中間階段之剖視圖。 圖9A至9C係一些實施例中,鰭狀場效電晶體裝置於形成方法的中間階段之剖視圖。 圖10A至10C係一些實施例中,鰭狀場效電晶體裝置於形成方法的中間階段之剖視圖。 圖11A至11C係一些實施例中,鰭狀場效電晶體裝置於形成方法的中間階段之剖視圖。 圖12A至12C係一些實施例中,鰭狀場效電晶體裝置於形成方法的中間階段之剖視圖。 圖13A至13C係一些實施例中,鰭狀場效電晶體裝置於形成方法的中間階段之剖視圖。 圖14A至14C係一些實施例中,鰭狀場效電晶體裝置於形成應力材料時的剖視圖。 圖15係一些實施例中,鰭狀場效電晶體裝置於退火製程時的剖視圖。 圖16A至16D係一些實施例中,鰭狀場效電晶體裝置於形成應力材料的多層時的剖視圖。 圖17A至17C係一些實施例中,鰭狀場效電晶體裝置於形成應力材料時的剖視圖。 圖18A至18C係一些實施例中,鰭狀場效電晶體裝置於形成應力材料時的剖視圖。 圖19A至19C係一些實施例中,鰭狀場效電晶體裝置於形成應力材料時的剖視圖。 圖20A至20C係一些實施例中,鰭狀場效電晶體裝置於形成應力材料時的剖視圖。 圖21A至21C係一些實施例中,鰭狀場效電晶體裝置於形成應力材料時的剖視圖。 圖22A至22C係一些實施例中,鰭狀場效電晶體裝置於形成應力材料時的剖視圖。 圖23A至23C係一些實施例中,鰭狀場效電晶體裝置於形成應力材料時的剖視圖。 圖24A至24C係一些實施例中,鰭狀場效電晶體裝置於形成應力材料時的剖視圖。 圖25A至25C係一些實施例中,鰭狀場效電晶體裝置於形成應力材料時的剖視圖。 圖26A至26C係一些實施例中,鰭狀場效電晶體裝置於形成應力材料時的剖視圖。 圖27係一些實施例中,鰭狀場效電晶體裝置的形成方法之流程圖。
2000‧‧‧方法
2001、2003、2005、2007、2009、2011、2013、2015、2017、2019、2021‧‧‧步驟

Claims (20)

  1. 一種半導體裝置的形成方法,包括: 形成一鰭狀物於一基板上; 形成一虛置閘極結構於該鰭狀物上; 移除與該虛置閘極結構相鄰的該鰭狀物的一部份,以形成一第一凹陷; 沉積一應力材料於該第一凹陷中; 自該第一凹陷移除該應力材料的至少一部份;以及 在移除該應力材料的至少一部份之後,磊晶成長一源極/汲極區於該第一凹陷中。
  2. 如申請專利範圍第1項所述之半導體裝置的形成方法,更包括在該應力材料上進行一退火製程。
  3. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中沉積該應力材料於該第一凹陷中的步驟包括: 沉積一第一應力材料於該第一凹陷中; 在沉積該第一應力材料之後,進行一第一退火製程; 沉積一第二應力材料於該第一應力材料上;以及 在沉積該第二應力材料之後,進行一第二退火製程。
  4. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中自該第一凹陷移除該應力材料的至少一部份之步驟包括: 採用一第一蝕刻製程移除該應力材料的第一部份;以及 採用一第二蝕刻製程移除該應力材料的第二部份。
  5. 如申請專利範圍第1項所述之半導體裝置的形成方法,更包括: 形成一介電層於該應力材料上;以及 形成一開口於該介電層中,並經由該介電層中的該開口磊晶成長該源極/汲極區。
  6. 如申請專利範圍第5項所述之半導體裝置的形成方法,更包括經由該介電層中的該開口,形成一接點至該源極/汲極區。
  7. 如申請專利範圍第6項所述之半導體裝置的形成方法,更包括在形成該接點之後,移除該虛置閘極結構並形成一置換閘極結構於該鰭狀物上。
  8. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該應力材料提供至該鰭狀物上的拉伸應力量介於約2.5GPa至約4.0GPa之間。
  9. 一種半導體裝置的形成方法,包括: 圖案化一基板以形成一帶狀物,且該帶狀物包括一第一半導體材料; 沿著該帶狀物的側壁形成一隔離區,且該帶狀物的上側部份延伸高於該隔離區的上表面; 沿著該帶狀物的上側部份的第一上表面與側壁形成一虛置閘極結構; 在該帶狀物上進行一第一蝕刻製程,以形成一第一凹陷於與該虛置閘極結構相鄰的該帶狀物中; 形成一第一介電材料於該第一凹陷中; 在該第一介電材料上進行一退火製程,在該退火製程後的該第一介電材料提供應力至該第一凹陷的側壁; 自該第一凹陷移除該第一介電材料;以及 磊晶成長一源極/汲極區於該第一凹陷中。
  10. 如申請專利範圍第9項所述之半導體裝置的形成方法,其中該第一介電材料提供拉伸應力。
  11. 如申請專利範圍第9項所述之半導體裝置的形成方法,更包括形成一第二介電材料於該第一介電材料與該虛置閘極結構上。
  12. 如申請專利範圍第9項所述之半導體裝置的形成方法,其中該第一介電材料包括氮化矽。
  13. 如申請專利範圍第12項所述之半導體裝置的形成方法,其中該第一介電材料的形成製程溫度介於約300℃至約350℃之間。
  14. 如申請專利範圍第9項所述之半導體裝置的形成方法,其中該退火製程的溫度介於約800℃至約1000℃之間。
  15. 如申請專利範圍第9項所述之半導體裝置的形成方法,更包括形成一氧化物層於該第一凹陷中,其中該第一介電材料形成於該氧化物層上。
  16. 如申請專利範圍第9項所述之半導體裝置的形成方法,其中磊晶成長該源極/汲極區於該第一凹陷中之後,保留該第一介電層的一部份於該第一凹陷中。
  17. 一種半導體裝置,包括: 一第一半導體鰭狀物,位於一基板上,且該第一半導體鰭狀物包括一通道區以及與該通道區相鄰的一凹陷; 一閘極堆疊,位於該第一半導體鰭狀物的該通道區上,其中該第一半導體鰭狀物的該通道區具有的一應力介於約2.5GPa至約4.0GPa之間;以及 一磊晶區,位於該凹陷中。
  18. 如申請專利範圍第17項所述之半導體裝置,其中低於該通道區的該第一半導體鰭狀物的區域具有的應力介於約2.5GPa至約4.0GPa之間。
  19. 如申請專利範圍第17項所述之半導體裝置,其中該應力為拉伸應力。
  20. 如申請專利範圍第17項所述之半導體裝置,其中該第一半導體鰭狀物的晶格扭曲之垂直延伸距離,介於該凹陷的垂直深度的約50%至約90%之間。
TW108122498A 2018-06-29 2019-06-27 半導體裝置與其形成方法 TWI743502B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862692430P 2018-06-29 2018-06-29
US62/692,430 2018-06-29
US16/421,744 US11296225B2 (en) 2018-06-29 2019-05-24 FinFET device and method of forming same
US16/421,744 2019-05-24

Publications (2)

Publication Number Publication Date
TW202006831A true TW202006831A (zh) 2020-02-01
TWI743502B TWI743502B (zh) 2021-10-21

Family

ID=68886193

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108122498A TWI743502B (zh) 2018-06-29 2019-06-27 半導體裝置與其形成方法

Country Status (5)

Country Link
US (3) US11296225B2 (zh)
KR (2) KR20200002699A (zh)
CN (1) CN110660744B (zh)
DE (1) DE102019116724A1 (zh)
TW (1) TWI743502B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI787817B (zh) * 2020-05-28 2022-12-21 台灣積體電路製造股份有限公司 半導體元件的製造方法
CN111653525B (zh) * 2020-06-18 2023-11-17 上海华力集成电路制造有限公司 Finfet的制造方法

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005052055B3 (de) 2005-10-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Eingebettete Verformungsschicht in dünnen SOI-Transistoren und Verfahren zur Herstellung desselben
US20070194402A1 (en) * 2006-02-21 2007-08-23 Micron Technology, Inc. Shallow trench isolation structure
US7772071B2 (en) * 2006-05-17 2010-08-10 Chartered Semiconductor Manufacturing Ltd. Strained channel transistor and method of fabrication thereof
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8383474B2 (en) * 2010-05-28 2013-02-26 International Business Machines Corporation Thin channel device and fabrication method with a reverse embedded stressor
CN102386226B (zh) 2010-08-31 2013-08-28 中国科学院微电子研究所 半导体结构及其制造方法
US8633522B2 (en) 2010-08-31 2014-01-21 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor structure and method for fabricating the same
US20120070947A1 (en) * 2010-09-16 2012-03-22 Globalfoundries Inc. Inducing stress in fin-fet device
US8685847B2 (en) * 2010-10-27 2014-04-01 International Business Machines Corporation Semiconductor device having localized extremely thin silicon on insulator channel region
US8361847B2 (en) * 2011-01-19 2013-01-29 International Business Machines Corporation Stressed channel FET with source/drain buffers
KR20120099863A (ko) * 2011-03-02 2012-09-12 삼성전자주식회사 트랜지스터 및 그 제조 방법
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8674453B2 (en) 2011-12-13 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming stressor regions in a semiconductor device
CN103187439B (zh) 2011-12-29 2015-08-05 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法、cmos及其形成方法
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9299837B2 (en) * 2013-05-22 2016-03-29 Globalfoundries Inc. Integrated circuit having MOSFET with embedded stressor and method to fabricate same
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9105663B1 (en) * 2014-01-30 2015-08-11 International Business Machines Corporation FinFET with silicon germanium stressor and method of forming
US9412822B2 (en) 2014-03-07 2016-08-09 Globalfoundries Inc. Methods of forming stressed channel regions for a FinFET semiconductor device and the resulting device
US9419136B2 (en) 2014-04-14 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dislocation stress memorization technique (DSMT) on epitaxial channel devices
US9461170B2 (en) 2014-04-23 2016-10-04 Taiwan Semiconductor Manufacturing Company Ltd. FinFET with ESD protection
US20150372100A1 (en) * 2014-06-19 2015-12-24 GlobalFoundries, Inc. Integrated circuits having improved contacts and methods for fabricating same
US9397200B2 (en) * 2014-10-24 2016-07-19 Globalfoundries Inc. Methods of forming 3D devices with dielectric isolation and a strained channel region
US9607989B2 (en) 2014-12-04 2017-03-28 Globalfoundries Inc. Forming self-aligned NiSi placement with improved performance and yield
US9991384B2 (en) 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10026843B2 (en) * 2015-11-30 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Fin structure of semiconductor device, manufacturing method thereof, and manufacturing method of active region of semiconductor device
US9496362B1 (en) 2016-01-04 2016-11-15 International Business Machines Corporation Contact first replacement metal gate
US9935199B2 (en) 2016-01-15 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure
US9871139B2 (en) * 2016-05-23 2018-01-16 Samsung Electronics Co., Ltd. Sacrificial epitaxial gate stressors
US9825157B1 (en) * 2016-06-29 2017-11-21 Globalfoundries Inc. Heterojunction bipolar transistor with stress component
US10115808B2 (en) 2016-11-29 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. finFET device and methods of forming
CN109148578B (zh) * 2017-06-16 2021-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Also Published As

Publication number Publication date
KR20200002699A (ko) 2020-01-08
KR102310683B1 (ko) 2021-10-13
US11296225B2 (en) 2022-04-05
TWI743502B (zh) 2021-10-21
CN110660744B (zh) 2022-08-23
US20220231169A1 (en) 2022-07-21
US20240097036A1 (en) 2024-03-21
US20200006565A1 (en) 2020-01-02
DE102019116724A1 (de) 2020-01-02
CN110660744A (zh) 2020-01-07
KR20210083216A (ko) 2021-07-06
US11855213B2 (en) 2023-12-26

Similar Documents

Publication Publication Date Title
TWI749102B (zh) 鰭狀場效電晶體與其形成方法
TWI828806B (zh) 半導體裝置與其形成方法
CN111261521B (zh) 半导体器件及其形成方法
TW202011518A (zh) 半導體裝置的形成方法
TW202008433A (zh) 半導體裝置的形成方法
TWI697052B (zh) 半導體裝置及其製造方法
TW202008471A (zh) 半導體裝置的形成方法
TW202013462A (zh) 半導體裝置與其形成方法
TW202011487A (zh) 半導體裝置的形成方法
US20220231169A1 (en) FinFET Device and Method of Forming Same
US11682711B2 (en) Semiconductor device having multi-layered gate spacers
KR102450063B1 (ko) 트랜지스터 게이트 및 이의 형성 방법
US11901218B2 (en) Shallow trench isolation forming method and structures resulting therefrom
TWI776376B (zh) 半導體裝置及其形成方法
TW201916121A (zh) 半導體裝置的形成方法
KR102549844B1 (ko) 반도체 디바이스 및 방법
TWI816801B (zh) 半導體裝置與其形成方法
KR20220103586A (ko) 반도체 디바이스 및 방법
TW202131519A (zh) 半導體裝置
TWI843525B (zh) 半導體裝置及其形成方法
US20230163075A1 (en) Semiconductor Device and Method
US20230268416A1 (en) Semiconductor Devices and Methods of Manufacture
US20220359729A1 (en) Semiconductor Device and Method
TW202109885A (zh) 半導體裝置
TW202203327A (zh) 半導體裝置及其形成方法