TW201834034A - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TW201834034A
TW201834034A TW106140922A TW106140922A TW201834034A TW 201834034 A TW201834034 A TW 201834034A TW 106140922 A TW106140922 A TW 106140922A TW 106140922 A TW106140922 A TW 106140922A TW 201834034 A TW201834034 A TW 201834034A
Authority
TW
Taiwan
Prior art keywords
etching
top plate
oxide layer
wafer
semiconductor device
Prior art date
Application number
TW106140922A
Other languages
English (en)
Other versions
TWI673781B (zh
Inventor
林恩平
何文鐘
邱意為
翁子展
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201834034A publication Critical patent/TW201834034A/zh
Application granted granted Critical
Publication of TWI673781B publication Critical patent/TWI673781B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02387Group 13/15 materials
    • H01L21/02389Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76243Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI

Abstract

一種半導體裝置的形成方法,包括對於在一晶圓中的一第一氧化物層進行蝕刻。上述蝕刻是在一蝕刻器中施行,上述蝕刻器包含重疊於上述晶圓的一第一頂板,且上述第一頂板是以一不含氧的材料形成。上述方法更包括在上述蝕刻器,對於在上述第一氧化物層之下的一氮化物層進行蝕刻,直到曝露出在上述氮化物層之下的一第二氧化物層的頂表面。然後,將上述晶圓從上述蝕刻器移出,在移出上述晶圓時,曝露出上述第二氧化物層的頂表面。

Description

半導體裝置的形成方法
本揭露是關於半導體裝置的形成方法,特別是關於鰭式場效電晶體的形成方法。
隨著積體電路的尺寸縮減的程度的逐漸增加及對積體電路的速度的需求的逐漸增加,隨著持續縮小的尺寸,電晶體需要具有較高的驅動電流。於是,發展出了鰭式場效電晶體(Fin Field-Effect Transistors;FinFETs)。鰭式場效電晶體具有垂直的半導體鰭狀物。上述半導體鰭狀物是用來形成源極區與汲極區,且用來形成上述源極區與汲極區之間的通道區。淺溝槽隔離(Shallow Trench Isolation;STI)區是用來定義上述半導體鰭狀物。上述鰭式場效電晶體亦包括閘極堆疊結構,其是形成在上述半導體鰭狀物的側壁及頂表面上。
在上述淺溝槽隔離區的形成中,一墊氧化物層與一氮化物硬罩幕可用來劃定上述淺溝槽隔離區的圖形。首先,使用一圖形化的心棒(mandrel)結構作為一蝕刻罩幕來蝕刻上述墊氧化物層與上述氮化物硬罩幕,然後使用上述氮化物硬罩幕作為另一蝕刻罩幕來蝕刻半導體基底,以在上述半導體基底中形成溝槽。然後,以一介電材料填充溝槽,以形成淺溝槽隔離區。
本揭露的一實施例是提供一種半導體裝置的形成方法,包括對於在一晶圓中的一第一氧化物層進行蝕刻。上述蝕刻是在一蝕刻器中施行,上述蝕刻器包含重疊於上述晶圓的一第一頂板,且上述第一頂板是以一不含氧的材料形成。上述方法更包括在上述蝕刻器,對於在上述第一氧化物層之下的一氮化物層進行蝕刻,直到曝露出在上述氮化物層之下的一第二氧化物層的頂表面。然後,將上述晶圓從上述蝕刻器移出,在移出上述晶圓時,曝露出上述第二氧化物層的頂表面。
本揭露的另一實施例是提供一種半導體裝置的形成方法,包括將一晶圓置入一第一蝕刻室。上述第一蝕刻室包含重疊於上述晶圓的一第一頂板,且上述第一頂板是以一不含氧的材料形成。在上述第一蝕刻室中,對上述晶圓的一第一氧化物層進行蝕刻,以曝露出在上述第一氧化物層之下的一第一氮化物層。在上述第一蝕刻室中,進一步對上述晶圓的一第一氮化物層進行蝕刻,以曝露出一第二氧化物層。在對上述第一氧化物層與上述第一氮化物層的蝕刻中,上述第一頂板是曝露於由各自的蝕刻氣體產生的電漿。上述方法更包括:將上述晶圓從上述第一蝕刻室移出;在一第二蝕刻室對上述第二氧化物層進行蝕刻,以曝露出一半導體基底;對上述半導體基底進行蝕刻,以形成一溝槽;以及以一介電材料填充上述溝槽,以形成一淺溝槽隔離區。
本揭露的又另一實施例是提供一種半導體裝置的形成方法,包括:將一晶圓置於一蝕刻室的一靜電式晶圓座(E-chuck)上,其中上述晶圓是在上述蝕刻室中的複數個組件的 正下方,並在上述組件與上述晶圓之間具有一間隔。上述組件是以矽形成。對上述晶圓中的一氧化矽層進行蝕刻,其使用一蝕刻氣體以及在蝕刻上述氧化矽層時在上述間隔產生的一電漿。上述組件的底表面是曝露於上述電漿。在蝕刻上述氧化矽層中,氧(O2)是作為上述蝕刻氣體的一部分。
10‧‧‧半導體晶圓
20‧‧‧半導體基底
22‧‧‧墊氧化物層(墊層)
24‧‧‧硬罩幕層
28‧‧‧氧化物層
30‧‧‧硬罩幕層
32‧‧‧氮化物硬罩幕
36‧‧‧溝槽
38‧‧‧半導體條
40‧‧‧襯墊氧化物
42‧‧‧介電材料
44‧‧‧淺溝槽隔離區
46‧‧‧半導體鰭狀物
50‧‧‧鰭式場效電晶體
52‧‧‧閘極堆疊結構
54‧‧‧閘極介電質
56‧‧‧閘極
100、100’‧‧‧乾式蝕刻器
102、102’‧‧‧蝕刻室
103‧‧‧靜電式晶圓座
104‧‧‧底部導體板
108、108’‧‧‧聚焦環
110‧‧‧覆蓋環
112、112’‧‧‧頂板(內架)
114‧‧‧內冷卻板
116‧‧‧上絕緣物
118‧‧‧導電板
120、120’‧‧‧保護環
122、122’‧‧‧外架
124‧‧‧外冷卻板
126‧‧‧分離器
130‧‧‧鍍膜
200‧‧‧製程流程圖
202、204、206、208、210、212、214、216‧‧‧步驟
D1‧‧‧深度
W1、W2、W3、W4‧‧‧寬度
根據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,根據本產業的一般作業,圖示並未必按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。
第1A圖是顯示根據某些實施例的一乾式蝕刻器的一部分的剖面圖。
第1B圖是顯示根據某些實施例的一乾式蝕刻器的某些組件的俯視圖。
第2圖是顯示根據某些實施例的淺溝槽隔離區的形成中的中間階段的剖面圖。
第3圖是顯示根據某些實施例的淺溝槽隔離區的形成中的中間階段的剖面圖。
第4圖是顯示根據某些實施例的淺溝槽隔離區的形成中的中間階段的剖面圖。
第5圖是顯示根據某些實施例的淺溝槽隔離區的形成中的中間階段的剖面圖。
第6圖是顯示根據某些實施例的淺溝槽隔離區的形成中的中間階段的剖面圖。
第7圖是顯示根據某些實施例的淺溝槽隔離區的形成中的中間階段的剖面圖。
第8圖是顯示根據某些實施例的淺溝槽隔離區的形成中的中間階段的剖面圖。
第9圖是顯示根據某些實施例的淺溝槽隔離區的形成中的中間階段的剖面圖。
第10圖是顯示根據某些實施例的淺溝槽隔離區的形成中的中間階段的剖面圖。
第11圖是顯示根據某些實施例的另一種乾式蝕刻器的一部分的剖面圖。
第12圖是顯示根據某些實施例的用以形成淺溝槽隔離結構及對應的鰭式場效電晶體(Fin Field-Effect Transistors;FinFETs)的流程圖。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個組件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。例如,若是本揭露書敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包含上述第一特徵與上述第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可能未直接接觸的實施例。另外,以下揭露書不同範例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之 間有特定的關係。
此外,其與空間相關用詞。例如“在...之下”、“下方”、“較低的”、“在...之上”、“較高的”及類似的用詞,係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
根據各種例示的實施例,是提供淺溝槽隔離(Shallow Trench Isolation;STI)區的形成方法、鰭式場效電晶體(Fin Field-Effect Transistors;FinFETs)及其施行設備。形成淺溝槽隔離區的中間階段,是根據某些實施例作敘述。會討論到某些實施例的某些變化。在所有的圖式及敘述的實施例中,類似的元件符號會用來表示類似的元件。
第2至10圖是顯示根據某些實施例的鰭式場效電晶體的形成中的中間階段的剖面圖。示於第2至10圖的步驟亦示意性地反映於第12圖所示的製程流程圖200。
請參考第2圖,提供半導體基底20,其為半導體晶圓10的一部分。根據本揭露的某些實施例,半導體基底20包括結晶矽。例如碳、鍺、鎵、硼、砷、氮、銦及/或磷等的常用材料亦可包含於半導體基底20。半導體基底20亦可以例如III-V族化合物半導體材料等的其他半導體材料形成。半導體基底20可以是一塊狀基底(bulk substrate)或一絕緣層上覆半導體(Semiconductor-On-Insulator;SOI)基底。
將墊層22與硬罩幕層24形成在半導體基底20上。墊層22可以是以例如氧化矽等的一氧化物形成的薄膜。因此,在後文是將墊層22稱為墊氧化物層22。根據本揭露的某些實施例,是在一熱氧化製程形成墊氧化物層22,其中將半導體基底20的一頂表面層氧化。墊氧化物層22可作為在半導體基底20與硬罩幕層24之間的一黏著層。墊氧化物層22亦可作為用以蝕刻硬罩幕層24的一蝕刻停止層。根據本揭露的某些實施例,例如使用低壓化學氣相沉積(Chemical Vapor Deposition;LPCVD),以氮化矽形成硬罩幕層24。根據本揭露的其他實施例,是藉由矽的熱氮化、電漿增益化學氣相沉積(Plasma Enhanced Chemical Vapor Deposition;PECVD)或電漿陽極氮化(plasma anodic nitridation)來形成硬罩幕層24。硬罩幕層24是在後續的心棒製程(mandrel processes)的過程中,作為一硬罩幕使用。
根據本揭露的某些實施例,在硬罩幕層24的上方形成氧化物層28與硬罩幕層30。根據本揭露的某些實施例,是以一氧化物形成氧化物層28,上述氧化物可以是氧化矽。氧化物層28可使用例如電漿增益化學氣相沉積或化學氣相沉積(Chemical Vapor Deposition;CVD)來形成。根據某些實施例,亦可以氮化物來形成硬罩幕層30。硬罩幕層30的形成,可使用從與用以形成硬罩幕層24的候選方法的相同族群所選擇的方法。
接下來,如第3~5圖所示,對硬罩幕層30、氧化物層28與硬罩幕層24進行蝕刻。根據某些實施例,對於硬罩幕層30、氧化物層28與硬罩幕層24的蝕刻是在相同的乾式蝕刻器施 行,其包括如第1A與1B圖所示的乾式蝕刻器100。此外,根據某些實施例,對於硬罩幕層30、氧化物層28與硬罩幕層24的蝕刻可以在其間不破真空的方式施行。根據某些替代性的實施例,在對於硬罩幕層30、氧化物層28與硬罩幕層24的蝕刻之間會作破真空。
第1A圖示意性地顯示根據本揭露的某些實施例之乾式蝕刻器100的一部分的剖面圖。乾式蝕刻器100包括蝕刻室102,蝕刻室102是一真空室。靜電式晶圓座(E-chuck)103是置於蝕刻室102內,而可將底部導體板104進一步置於靜電式晶圓座103之下。即將被蝕刻的半導體晶圓10是被放置在靜電式晶圓座103上且被靜電式晶圓座103固定。在半導體晶圓10的俯視圖中,聚焦環108是一個環,且半導體晶圓10是被放置在被聚焦環108環繞的區域。在本揭露的某些實施例中,聚焦環108是以矽形成,其內不含氧。覆蓋環110亦是一個環,其環繞聚焦環108。覆蓋環110可以以石英-其為氧化矽-形成,且具有SiO2的化學式。
在半導體晶圓10及靜電式晶圓座103的上方,存在內架(inner cell)112,內架112是在半導體晶圓10的正上方。在以下所有敘述,將內架112改稱為頂板112。頂板112重疊於半導體晶圓10,其面積可以至少等於或大於下方的半導體晶圓10的面積。頂板112可為一實心板,其內無任何孔洞。頂板112與半導體晶圓10劃定位於其間的一空間,其中用於蝕刻半導體晶圓10的製程氣體則填充於上述空間。此外,頂板112是在上述空間的正上方之最底部的組件。換句話說,在半導體晶圓10的 蝕刻中,頂板112是被曝露於蝕刻氣體且與上述蝕刻氣體成物理性的接觸,而且從上述蝕刻氣體產生電漿。如第1B圖所示,頂板112可具有圓形。
內冷卻板114存在於頂板112的上方。根據本揭露的某些實施例,內冷卻板114是以具有良好的熱傳導性的材料形成,根據某些實施例,其可以是以鋁或鋁合金形成的一金屬材料。根據某些實施例,內冷卻板114為空心,具有形成於其內部的複數個導管(conduit)(未繪示),而可以讓例如冷空氣、水、油等的冷卻劑流經這些導管,因此可以導出從頂板112傳導的熱。根據某些實施例,內冷卻板114可以與頂板112有物理性的接觸。內冷卻板114可具有圓形的俯視形狀。
上絕緣物116是位於內冷卻板114的上方。根據本揭露的某些實施例,上絕緣物116是用來形成電容耦合式電漿(capacitive coupled plasma)。上絕緣物116可以以Al2O3來形成。
導電板118是置於上絕緣物116的上方。根據本揭露的某些實施例,是以例如銅、鐵、鋁、鎳或上述之合金等的一導電材料來形成導電板118。可以在導電板118與底部導體板104之間施加電壓,因此可以產生電漿。
乾式蝕刻器100可更包括保護環120與外架(outer cell)122,其中保護環120與外架122各形成環繞頂板112的環(當觀看蝕刻室102的俯視圖時)。保護環120與外架122亦各具有曝露於蝕刻氣體及對應的電漿所在空間的表面。保護環120與外架122可以是分離的組件。
外冷卻板124是置於外架122的上方。根據本揭露 的某些實施例,外冷卻板124形成環繞內冷卻板114的環(當觀看蝕刻室102的俯視圖時)。外冷卻板124的材料可類似於內冷卻板114的材料。例如,可以以鋁或一鋁合金來形成外冷卻板124。外冷卻板124可以亦具有內部的複數個導管(conduit)(未繪示),而導引其內的冷卻劑將熱導出。根據某些實施例,可藉由分離器126將外冷卻板124與內冷卻板114彼此隔離,且亦可將分離器126形成為一個環(以俯視圖觀之),並可以以石英來形成分離器126。
根據本揭露的某些實施例,頂板112、外架122以及保護環120是以不含氧的材料形成。此外,若有蝕刻室102的任何組件具有曝露於上述蝕刻氣體的底表面,這個組件可以以不含氧的材料形成或是至少被鍍上不含氧的材料。因此,在保護環120的外緣所劃定的圓的範圍內且會被曝露於上述蝕刻氣體及對應的電漿之材料,將會以不含氧的材料形成。在替代性的實施例中,頂板112是以不含氧的材料形成,而外架122及保護環120是以例如石英等的含氧的材料形成。
根據本揭露的某些實施例,用以形成頂板112、外架122及保護環120的不含氧的材料是矽,其內不含氧。根據某些例示的實施例,在不含氧的材料的矽的重量百分比是大於九十五個重量百分比、大於九十九個重量百分比或是更多。根據其他實施例,上述不含氧的材料為碳化矽(SiC)。頂板112、外架122及保護環120可以相同或不同的不含氧的材料形成。例如,可以以矽形成頂板112,並可以以碳化矽形成外架122及保護環120;或可以以碳化矽形成頂板112,並可以以矽形成外架 122及保護環120。在至少頂板112是以不含氧的材料形成、且外架122及保護環120可以以不含氧的材料形成之下,在半導體晶圓10的蝕刻時從這些組件釋出的氧的量會被大幅減少或消除,而改善蝕刻後的硬罩幕層24的外觀形狀,這部分將會在後文敘述。
根據替代性的實施例,頂板112、外架122及保護環120是被鍍上一不含氧的材料作為其表面部分,而這些組件的內部是以例如石英等的含氧的材料形成。例如,上述不含氧的材料可以在上述含氧的材料的材料的所有表面上形成一共形(conformal)的鍍膜。取代上述形態,上述不含氧的材料會在可能會曝露於上述蝕刻氣體的(上述含氧的材料之)表面上形成共形的鍍膜,但不會在未曝露於上述蝕刻氣體的表面上。
第1A圖亦顯示在蝕刻室102中的許多組件上的鍍膜130。例如,鍍膜130可覆蓋在保護環120的外側且環繞保護環120的組件。根據某些實施例,可以以氟化釔(YF3)形成鍍膜130。被鍍膜130鍍上的組件可包括遮蔽板(shield plate)、沉積板(deposition plate)、斷續器(shutter)、錐形檔板(conical baffle)及/或其類似者,上述組件均未繪示於圖式。要注意的是,圖式中雖顯示鍍膜130直接接觸蝕刻室102的側壁,但鍍膜130可以是物理性地接觸蝕刻室102的側壁,或是與蝕刻室102的側壁隔著例如沉積板、斷續器、錐形檔板及/或其類似者等的組件。
第1B圖是顯示根據某些實施例的一乾式蝕刻器100的某些組件的俯視圖。以不含氧的材料形成的組件之在俯視圖呈現的面積是大於半導體晶圓10之在俯視圖呈現的面 積,並因此越過了半導體晶圓10的邊緣。頂板112之在俯視圖呈現的面積是大於半導體晶圓10之在俯視圖呈現的面積,且保護環120及外架122還進一步從頂板112的邊緣向外延伸,所以不含氧的材料之在俯視圖呈現的區域在俯視圖中,會從半導體晶圓10的邊緣向較遠處延伸。隨著在俯視圖中的不含氧的材料從半導體晶圓10的邊緣向較遠處延伸,有益於在蝕刻的過程中使氧釋出的數量最小化。
請回去參考第2圖,在心棒製程中,將氮化物硬罩幕32圖形化。對應的步驟是繪示如第12圖所示的製程流程圖中的步驟202。然後,如第1A與1B圖所示,將半導體晶圓10(包括氮化物硬罩幕32)置入蝕刻室102中,並蝕刻硬罩幕層30。對應的步驟是繪示如第12圖所示的製程流程圖中的步驟204。第3圖顯示所形成的半導體晶圓10。根據某些實施例,上述蝕刻包括二個階段。在第一階段,上述蝕刻氣體包括CH3F等的含氟氣體。上述蝕刻氣體亦可包括C4F6、CF4、NF3及/或SF6。在第一階段,未在製程氣體中加入氧(O2)。在第二階段,亦使用上述含氟氣體且加入氧,所以上述蝕刻會慢下來,且硬罩幕層30之所形成的留下來的部分的邊緣會得到改善而變得較筆直且較垂直。
接下來,如第4圖所示,將氧化物層28蝕刻。對應的步驟是繪示如第12圖所示的製程流程圖中的步驟206。根據某些實施例,在氧化物層28的蝕刻之時,氮化物硬罩幕32(第3圖)將會被留下來且會在後續的蝕刻製程被消耗。根據本揭露的某些實施例,上述蝕刻是使用C4F6作為一蝕刻氣體。亦可使 用例如氧(O2)及/或氬等的其他氣體。
在氧化物層28的蝕刻中,以具有高蝕刻選擇比為佳,其中蝕刻選擇比為ERoxide/ERnitride的比值,其中ERoxide為氧化物層28的蝕刻速率,而ERnitride為氮化物層24的蝕刻速率。隨著蝕刻選擇比ERoxide/ERnitride為高,其意義為氮化物層24的蝕刻速率低且使在對氧化物層28充分蝕刻之後之對於氮化物層24的損傷最小化,並曝露出氮化物層24。氮化物層24的蝕刻速率是被蝕刻室102(第1A圖)中的氧的百分比影響。氧有二個來源,包括由蝕刻氣體的一部分提供的氧以及從蝕刻室102內的組件釋出的氧。在上述蝕刻製程中,無法控制從蝕刻室102內的組件釋出的氧的量,而可能會導致在氧化物層28的蝕刻中的變異,而為害氧化物層28的蝕刻的控制,因此對氮化物層24造成損傷。
根據本揭露的某些實施例,為了使從蝕刻室102內的組件釋出的氧的量最小化,可使用例如矽或碳化矽等的不含氧的材料來形成蝕刻室102內的組件。可以理解的是與高能量電漿及/或高溫氣體/電漿接觸的含氧的材料有較高的可能釋出氧。因此,頂板112、外架122及保護環120是使用不含氧的材料來形成,而未與高能量電漿及/或高溫氣體/電漿接觸的其他組件可以以不含氧的材料或含氧的材料來形成,而不會影響蝕刻製程。傳統的乾式蝕刻器可能會具有以石英形成的這些組件,其包含氧化矽。因此,將這些組件從乾蝕刻器100移除,且被以不含氧的材料形成的組件所取代。其結果,在蝕刻室102內對氧化物層28(第4圖)進行蝕刻時,會減少釋出的氧的量。
在如第4圖所示的氧化物層28的蝕刻之後,如第5圖所示,對硬罩幕層24進行蝕刻。對應的步驟是繪示如第12圖所示的製程流程圖中的步驟208。根據某些實施例,上述蝕刻是使用與蝕刻硬罩幕層30同樣的蝕刻氣體來施行,用以蝕刻硬罩幕層24與30的蝕刻條件亦可相似,上述蝕刻亦可以在如第1A圖所示的蝕刻室102施行。由於在對氧化物層28進行的蝕刻中對於硬罩幕層24的損傷最小化,且在完成對硬罩幕層24的蝕刻之後在硬罩幕層24未有底切(undercut)的發生,有助於使所形成的硬罩幕層24之的部分具有較筆直且較垂直的側壁(與以石英形成頂板112(第1A圖)的情況比較)。在對硬罩幕層24進行的蝕刻之後,曝露出氧化物層22。在蝕刻室102進行的蝕刻於是結束,而可將半導體晶圓10從蝕刻室102移出。
由於對半導體晶圓10的蝕刻包括含氧的氣體,並從此含氧的氣體進一步產生電漿,隨著時間的推移,會在頂板112、外架122及保護環120(第1A圖)的表面上產生氧化物。例如,當以矽形成頂板112、外架122及保護環120時,會產生氧化矽層。上述氧化矽層亦會釋出氧,而會對於後續被蝕刻的晶圓所進行的蝕刻造成不良影響。根據本揭露的某些實施例,在對相當程度數量的半導體晶圓進行蝕刻之後,可將頂板112、外架122及保護環120(第1A圖)從蝕刻室102取出,在例如一溼蝕刻製程移除上述氧化物層,因此重新曝露出這些組件的不含氧的材料。將上述清潔後的組件重新安裝於蝕刻室102中,對下一個半導體晶圓進行蝕刻。頂板112、外架122及保護環120的清潔可以週期性地施行。亦可以對聚焦環108進行週期性清 潔,以移除產生於其表面上的氧化物(如果有的話)。
請參考第6圖,使用硬罩幕層24作為一蝕刻罩幕來對墊氧化物層22與半導體基底20進行蝕刻。對應的步驟是繪示如第12圖所示的製程流程圖中的步驟210。形成複數個溝槽36,其延伸進入半導體基底20。半導體基底20之在相鄰的溝槽36之間的部分,在後文將其稱為半導體條38。溝槽36可具有彼此平行的條狀(在半導體晶圓10的俯視圖觀看時),且彼此緊密排列。接下來,可施行一清潔步驟。例如,可使用稀釋的氫氟酸來施行上述清潔步驟。
由於如第5圖所示的硬罩幕層24具有筆直且垂直的側壁,且硬罩幕層24的筆直且垂直的側壁會移轉至其下的被蝕刻的半導體基底20,所形成的半導體條38會具有筆直且垂直的邊緣。例如,第6圖顯示半導體條38的寬度W1、W2、W3與W4。寬度W1是半導體條38的頂部寬度,寬度W2、W3與W4是分別在溝槽36的深度D1的百分之十、百分之三十與百分之五十之處所測量的寬度。實驗結果指出W2/W1、W3/W1與W4/W1的比值是在約0.9與約1.2之間的範圍,其指出半導體條38的邊緣是實質上筆直且垂直。在實體的晶圓施行的實驗結果亦顯示若以石英形成頂板112、外架122及保護環120,對應的半導體條38的邊緣會遠比以矽形成上述構件的情況的對應的半導體條38的邊緣還要傾斜。
根據本揭露的某些實施例,對於墊氧化物層22與半導體基底20所進行的蝕刻是在乾式蝕刻器100’中施行,乾式蝕刻器100’的示意圖顯示於第11圖,其中半導體晶圓10受到蝕 刻而形成複數個溝槽36(第6圖)。根據本揭露的某些實施例,乾式蝕刻器100’與蝕刻室102’本質上是分別與乾式蝕刻器100與蝕刻室102(第1A圖)相同,除了頂板112’、外架122’及保護環120’(且可能再加上聚焦環108’)的材料不是以不含氧的材料形成,而是以例如石英等的含氧的材料形成。根據替代性的實施例,乾式蝕刻器100’與蝕刻室102’分別具有不同於乾式蝕刻器100與蝕刻室102的結構與設計。然而,頂板112’、外架122’及保護環120’(即便其可能具有不同的形狀、尺寸等)是以例如石英等的含氧的材料形成。由於石英已被證實為適用於蝕刻器的材料,當對應的製程對於被釋出的氧不敏感時,由於其已被證實的性質而以使用石英為佳。
第7圖顯示介電材料的填充。根據某些實施例,在溝槽36(第6圖)中且在半導體條38的側壁上,形成襯墊氧化物40。襯墊氧化物40可以是一共形層,其水平部分及垂直部分具有彼此相近的厚度。襯墊氧化物40可以是一熱氧化層,根據某些實施例,具有約10Å與約100Å之間的厚度。襯墊氧化物40的形成,可藉由在一含氧環境中對半導體晶圓10進行氧化,例如經由矽的局部氧化(Local Oxidation of Silicon;LOCOS),例如氧(O2)可包含於對應的蝕刻氣體中。根據本揭露的其他實施例,是使用例如臨場蒸氣產生技術(In-Situ Steam Generation;ISSG)來形成襯墊氧化物40,其中是使用水蒸氣或氫(H2)與氧(O2)的組合氣體來對半導體條38進行氧化。根據本揭露的另外的其他實施例,是使用例如次大氣壓化學氣相沉積(Sub Atmospheric Chemical Vapor Deposition;SACVD)等的一沉積 技術來形成襯墊氧化物40。
第7圖亦顯示介電材料42的沉積/形成。介電材料42填充了如第6圖所示的溝槽36。介電材料42的形成方法可選自流動式化學氣相沉積(Flowable Chemical Vapor Deposition;FCVD)、化學氣相沉積(Chemical Vapor Deposition;CVD)、原子層沉積(Atomic Layer Deposition;ALD)及其同類者。可施行一處理以將介電材料42熟化。所形成的介電材料42可包括例如氧化矽。
然後,施行例如一化學機械研磨(Chemical Mechanical Polish;CMP)等的一平坦化步驟,如第8圖所示。因此,形成了複數個淺溝槽隔離區44,其包括襯墊氧化物40與介電材料42的留下來的部分。硬罩幕層24可作為一化學機械研磨停止層來使用,因此硬罩幕層24的頂表面是實質上齊平於淺溝槽隔離區44的頂表面。示於第7與8圖的步驟是繪示如第12圖所示的製程流程圖中的步驟208。
在後續的製程步驟,是將硬罩幕層24與墊氧化物層22移除。接下來,將淺溝槽隔離區44凹下。對應的步驟是繪示如第12圖所示的製程流程圖中的步驟214。半導體條38的上部突出而高於留下來的淺溝槽隔離區44的頂表面,以形成突出的半導體鰭狀物46。所形成的結構顯示於第9圖。根據本揭露的某些實施例,是使用一乾蝕刻方法來施行淺溝槽隔離區44的凹下,其中使用包括NH3及HF的製程氣體。根據本揭露的替代性的實施例,是使用一溼蝕刻方法來施行淺溝槽隔離區44的凹下,其中蝕刻溶液是一稀釋的氟化氫溶液。
在將淺溝槽隔離區44凹下以形成半導體鰭狀物46之後,對半導體鰭狀物46施行複數道製程步驟,這些製程步驟包括井區佈植(well implantations)、形成閘極堆疊結構、形成源極/汲極、形成取代閘極以及形成源極/汲極接觸差塞。因此,形成鰭式場效電晶體。對應的步驟是繪示如第12圖所示的製程流程圖中的步驟216。一例示的鰭式場效電晶體50是顯示於第10圖,其中所繪示的閘極堆疊結構52包括閘極介電質54與閘極56,其中閘極介電質54是在半導體鰭狀物46的頂表面與側壁上,閘極56是在閘極介電質54的上方。閘極介電質54可經由一熱氧化製程而形成,因此可包括熱氧化矽。閘極介電質54的形成亦可包括一沉積步驟,且所形成的閘極介電質54可包括一高介電常數介電材料。然後,將閘極56形成在閘極介電質54上。這些構件的形成製程並未詳細討論。可使用一先閘極方法(gate-first approach)或一後閘極方法(gate last approach)來形成閘極介電質54與閘極56。亦形成鰭式場效電晶體50的其餘構件,包含源極區和汲極區以及源極和汲極的矽化物區(未在圖式顯示的平面)。
本揭露的實施例具有一些有益的特徵。藉由將在乾式蝕刻器中常用的石英組件替換為以不含氧的材料形成的組件,與在具有石英頂板的其他乾式蝕刻器中被蝕刻的氮化矽罩幕層比較,在本揭露的上述乾式蝕刻器中被蝕刻的氮化矽罩幕層具有較筆直且較垂直的邊緣。使用此氮化矽罩幕層作為蝕刻罩幕而形成的半導體條,因此而較筆直且較垂直。
根據本揭露的某些實施例,是一種半導體裝置的 形成方法,包括對於在一晶圓中的一第一氧化物層進行蝕刻。上述蝕刻是在一蝕刻器中施行,上述蝕刻器包含重疊於上述晶圓的一第一頂板,且上述第一頂板是以一不含氧的材料形成。上述方法更包括在上述蝕刻器,對於在上述第一氧化物層之下的一氮化物層進行蝕刻,直到曝露出在上述氮化物層之下的一第二氧化物層的頂表面。然後,將上述晶圓從上述蝕刻器移出,在移出上述晶圓時,曝露出上述第二氧化物層的頂表面。
在上述半導體裝置的形成方法的一實施例中,上述第一頂板是以矽形成,且在對上述第一氧化物層進行蝕刻時,上述第一頂板是曝露於蝕刻氣體。
在上述半導體裝置的形成方法的一實施例中,上述第一頂板是以碳化矽形成,且在對上述第一氧化物層進行蝕刻時,上述第一頂板是曝露於蝕刻氣體。
在上述半導體裝置的形成方法的一實施例中,更包含在一第二蝕刻器對上述第二氧化物層及在上述第二氧化物層之下的一半導體基底進行蝕刻,其中上述第二蝕刻器具有以一含氧的材料形成的一第二頂板。
在上述半導體裝置的形成方法的一實施例中,除了上述第一蝕刻器與第二蝕刻器之直接在上述晶圓的上方的材料為不同之外,上述第一蝕刻器與上述第二蝕刻器具有同一設計。
在上述半導體裝置的形成方法的一實施例中,上述第二頂板是以石英形成。
在上述半導體裝置的形成方法的一實施例中,更 包含:將上述第一頂板從上述第一蝕刻器移出;移除在上述第一頂板上的一表面氧化物層,以曝露上述不含氧的材料;以及將上述第一頂板重新安裝至上述第一蝕刻器。
在上述半導體裝置的形成方法的一實施例中,在上述第一蝕刻器施行蝕刻時,在上述第一蝕刻器中的一外架(outer cell)與一保護環亦是以上述不含氧的材料的材料形成,且上述外架與上述保護環形成環繞上述第一頂板的環。
在上述半導體裝置的形成方法的一實施例中,上述第一頂板延伸至上述晶圓的邊緣以外。
根據本揭露的某些實施例,是一種半導體裝置的形成方法,包括將一晶圓置入一第一蝕刻室。上述第一蝕刻室包含重疊於上述晶圓的一第一頂板,且上述第一頂板是以一不含氧的材料形成。在上述第一蝕刻室中,對上述晶圓的一第一氧化物層進行蝕刻,以曝露出在上述第一氧化物層之下的一第一氮化物層。在上述第一蝕刻室中,進一步對上述晶圓的一第一氮化物層進行蝕刻,以曝露出一第二氧化物層。在對上述第一氧化物層與上述第一氮化物層的蝕刻中,上述第一頂板是曝露於由各自的蝕刻氣體產生的電漿。上述方法更包括:將上述晶圓從上述第一蝕刻室移出;在一第二蝕刻室對上述第二氧化物層進行蝕刻,以曝露出一半導體基底;對上述半導體基底進行蝕刻,以形成一溝槽;以及以一介電材料填充上述溝槽,以形成一淺溝槽隔離區。
在上述半導體裝置的形成方法的一實施例中,更包含:在上述第一蝕刻室,對一第二氮化物層進行蝕刻,以曝 露出上述第一氧化物層。
在上述半導體裝置的形成方法的一實施例中,上述第一頂板是以矽形成。
在上述半導體裝置的形成方法的一實施例中,上述第一頂板是以碳化矽形成。
在上述半導體裝置的形成方法的一實施例中,上述第二蝕刻室包含一第二頂板,並具有用以對與上述第二頂板接觸的上述第二氧化物層進行蝕刻而產生的電漿,且上述第二頂板是以石英形成。
在上述半導體裝置的形成方法的一實施例中,,更包含:在將上述晶圓從上述第一蝕刻室移出之後,將上述第一頂板從上述第一蝕刻室取出;對產生在上述第一頂板上的一氧化物層進行蝕刻;以及將上述第一頂板重新安裝至上述第一蝕刻室。
根據本揭露的某些實施例,是一種半導體裝置的形成方法,包括:將一晶圓置於一蝕刻室的一靜電式晶圓座(E-chuck)上,其中上述晶圓是在上述蝕刻室中的複數個組件的正下方,並在上述組件與上述晶圓之間具有一間隔。上述組件是以矽形成。對上述晶圓中的一氧化矽層進行蝕刻,其使用一蝕刻氣體以及在蝕刻上述氧化矽層時在上述間隔產生的一電漿。上述組件的底表面是曝露於上述電漿。在蝕刻上述氧化矽層中,氧(O2)是作為上述蝕刻氣體的一部分。
在上述半導體裝置的形成方法的一實施例中,在上述組件中的矽的百分比高於百分之九十五。
在上述半導體裝置的形成方法的一實施例中,上述組件的組合重疊於整個上述晶圓。
在上述半導體裝置的形成方法的一實施例中,更包含:從上述蝕刻室移出上述組件;移除在上述組件的表面上產生的氧化矽層,以曝露出矽;以及將上述組件重新安裝至上述蝕刻室。
在上述半導體裝置的形成方法的一實施例中,上述蝕刻氣體包含C4F6
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。

Claims (20)

  1. 一種半導體裝置的形成方法,包含:對於在一晶圓中的一第一氧化物層進行蝕刻,其中該蝕刻是在一第一蝕刻器中施行,該第一蝕刻器包含重疊於該晶圓的一第一頂板,且該第一頂板是以一不含氧的材料形成;在該第一蝕刻器,對於在該第一氧化物層之下的一氮化物層進行蝕刻,直到曝露出在該氮化物層之下的一第二氧化物層的頂表面;以及將該晶圓從該第一蝕刻器移出,在移出該晶圓時,曝露出該第二氧化物層的頂表面。
  2. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該第一頂板是以矽形成,且在對該第一氧化物層進行蝕刻時,該第一頂板是曝露於蝕刻氣體。
  3. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該第一頂板是以碳化矽形成,且在對該第一氧化物層進行蝕刻時,該第一頂板是曝露於蝕刻氣體。
  4. 如申請專利範圍第1項所述之半導體裝置的形成方法,更包含在一第二蝕刻器對該第二氧化物層及在該第二氧化物層之下的一半導體基底進行蝕刻,其中該第二蝕刻器具有以一含氧的材料形成的一第二頂板。
  5. 如申請專利範圍第4項所述之半導體裝置的形成方法,其中除了該第一蝕刻器與第二蝕刻器之直接在該晶圓的上方的材料為不同之外,該第一蝕刻器與該第二蝕刻器具有同一設計。
  6. 如申請專利範圍第4項所述之半導體裝置的形成方法,其中該第二頂板是以石英形成。
  7. 如申請專利範圍第1項所述之半導體裝置的形成方法,更包含:將該第一頂板從該第一蝕刻器移出;移除在該第一頂板上的一表面氧化物層,以曝露該不含氧的材料;以及將該第一頂板重新安裝至該第一蝕刻器。
  8. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中在該第一蝕刻器施行蝕刻時,在該第一蝕刻器中的一外架(outer cell)與一保護環亦是以該不含氧的材料形成,且該外架與該保護環形成環繞該第一頂板的環。
  9. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該第一頂板延伸至該晶圓的邊緣以外。
  10. 一種半導體裝置的形成方法,包含:將一晶圓置入一第一蝕刻室,其中該第一蝕刻室包含重疊於該晶圓的一第一頂板,且該第一頂板是以一不含氧的材料形成;在該第一蝕刻室中,對該晶圓的一第一氧化物層進行蝕刻,以曝露出在該第一氧化物層之下的一第一氮化物層;在該第一蝕刻室中,進一步對該晶圓的一第一氮化物層進行蝕刻,以曝露出一第二氧化物層,其中在對該第一氧化物層與該第一氮化物層的蝕刻中,該第一頂板是曝露於由各自的蝕刻氣體產生的電漿; 將該晶圓從該第一蝕刻室移出;在一第二蝕刻室對該第二氧化物層進行蝕刻,以曝露出一半導體基底;對該半導體基底進行蝕刻,以形成一溝槽;以及以一介電材料填充該溝槽,以形成一淺溝槽隔離區。
  11. 如申請專利範圍第10項所述之半導體裝置的形成方法,更包含:在該第一蝕刻室,對一第二氮化物層進行蝕刻,以曝露出該第一氧化物層。
  12. 如申請專利範圍第10項所述之半導體裝置的形成方法,其中該第一頂板是以矽形成。
  13. 如申請專利範圍第10項所述之半導體裝置的形成方法,其中該第一頂板是以碳化矽形成。
  14. 如申請專利範圍第10項所述之半導體裝置的形成方法,其中該第二蝕刻室包含一第二頂板,並具有用以對與該第二頂板接觸的該第二氧化物層進行蝕刻而產生的電漿,且該第二頂板是以石英形成。
  15. 如申請專利範圍第10項所述之半導體裝置的形成方法,更包含:在將該晶圓從該第一蝕刻室移出之後,將該第一頂板從該第一蝕刻室取出;對產生在該第一頂板上的一氧化物層進行蝕刻;以及將該第一頂板重新安裝至該第一蝕刻室。
  16. 一種半導體裝置的形成方法,包含:將一晶圓置於一蝕刻室的一靜電式晶圓座(E-chuck)上,其 中該晶圓是在該蝕刻室中的複數個組件的正下方,並在該些組件與該晶圓之間具有一間隔,且該些組件是以矽形成;以及對該晶圓中的一氧化矽層進行蝕刻,其使用一蝕刻氣體以及在蝕刻該氧化矽層時在該間隔產生的一電漿,其中該些組件的底表面是曝露於該電漿,且在蝕刻該氧化矽層中,氧(O 2)是作為該蝕刻氣體的一部分。
  17. 如申請專利範圍第16項所述之半導體裝置的形成方法,其中在該些組件中的矽的百分比高於百分之九十五。
  18. 如申請專利範圍第16項所述之半導體裝置的形成方法,其中該些組件的組合重疊於整個該晶圓。
  19. 如申請專利範圍第16項所述之半導體裝置的形成方法,更包含:從該蝕刻室移出該些組件;移除在該些組件的表面上產生的氧化矽層,以曝露出矽;以及將該些組件重新安裝至該蝕刻室。
  20. 如申請專利範圍第16項所述之半導體裝置的形成方法,其中該蝕刻氣體包含C 4F 6
TW106140922A 2016-11-29 2017-11-24 半導體裝置的形成方法 TWI673781B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427494P 2016-11-29 2016-11-29
US62/427,494 2016-11-29
US15/725,744 2017-10-05
US15/725,744 US10504720B2 (en) 2016-11-29 2017-10-05 Etching using chamber with top plate formed of non-oxygen containing material

Publications (2)

Publication Number Publication Date
TW201834034A true TW201834034A (zh) 2018-09-16
TWI673781B TWI673781B (zh) 2019-10-01

Family

ID=62190996

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106140922A TWI673781B (zh) 2016-11-29 2017-11-24 半導體裝置的形成方法

Country Status (5)

Country Link
US (2) US10504720B2 (zh)
KR (1) KR102087198B1 (zh)
CN (1) CN108172513B (zh)
DE (1) DE102017124113B4 (zh)
TW (1) TWI673781B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11430893B2 (en) * 2020-07-10 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6022446A (en) 1995-08-21 2000-02-08 Shan; Hongching Shallow magnetic fields for generating circulating electrons to enhance plasma processing
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US5993594A (en) 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6277752B1 (en) * 1999-06-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Multiple etch method for forming residue free patterned hard mask layer
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US6251764B1 (en) * 1999-11-15 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to form an L-shaped silicon nitride sidewall spacer
JP2003534451A (ja) * 2000-04-03 2003-11-18 アプライド マテリアルズ インコーポレイテッド プラズマ処理システムのシリコンルーフの洗浄の改良
JP2004296820A (ja) * 2003-03-27 2004-10-21 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4749683B2 (ja) * 2004-06-08 2011-08-17 東京エレクトロン株式会社 エッチング方法
JP4963842B2 (ja) * 2006-02-13 2012-06-27 東京エレクトロン株式会社 基板処理室の洗浄方法、記憶媒体及び基板処理装置
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
KR20100065321A (ko) 2007-08-07 2010-06-16 피포탈 시스템즈 코포레이션 가스의 화학적 조성을 확인하는 방법 및 장치
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US8845816B2 (en) * 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
JP2013030531A (ja) 2011-07-27 2013-02-07 Central Glass Co Ltd ドライエッチング剤
US8610241B1 (en) 2012-06-12 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Homo-junction diode structures using fin field effect transistor processing
US9159832B2 (en) 2013-03-08 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor fin structures and methods for forming the same
US9236255B2 (en) * 2013-06-26 2016-01-12 Applied Materials, Inc. Methods for forming three dimensional NAND structures atop a substrate
US9576815B2 (en) * 2015-04-17 2017-02-21 Applied Materials, Inc. Gas-phase silicon nitride selective etch
US10192751B2 (en) * 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch

Also Published As

Publication number Publication date
US10504720B2 (en) 2019-12-10
KR102087198B1 (ko) 2020-03-11
KR20180061006A (ko) 2018-06-07
CN108172513B (zh) 2021-07-06
TWI673781B (zh) 2019-10-01
US20200043720A1 (en) 2020-02-06
DE102017124113A1 (de) 2018-06-14
US11120986B2 (en) 2021-09-14
US20180151353A1 (en) 2018-05-31
CN108172513A (zh) 2018-06-15
DE102017124113B4 (de) 2023-06-22

Similar Documents

Publication Publication Date Title
TWI761876B (zh) 半導體裝置的製造方法及電漿處理裝置
JP4398467B2 (ja) 半導体装置の製造方法
CN103177950B (zh) 制造鳍器件的结构和方法
TWI544626B (zh) 半導體元件及其形成方法
US9337195B2 (en) Semiconductor devices and methods of manufacture thereof
US10685889B2 (en) Semiconductor structures and fabrication methods thereof
TWI598946B (zh) 半導體裝置與其製造方法
TWI602295B (zh) 半導體裝置及其製造方法
US20170133489A1 (en) Semiconductor structures and fabrication methods thereof
TWI590383B (zh) 半導體裝置結構與其形成方法
CN110323267B (zh) 半导体结构及其形成方法
TW201547022A (zh) 半導體元件及其形成方法
CN107591362B (zh) 半导体结构及其形成方法
TW201839990A (zh) 半導體元件、鰭式場效電晶體元件及半導體元件的製造方法
US9006079B2 (en) Methods for forming semiconductor fins with reduced widths
TWI478246B (zh) 藉由形成硬遮罩層堆疊及採用基於電漿的遮罩圖案化製程以形成通道半導體合金
TW201916122A (zh) 半導體元件的製造方法
US20140273480A1 (en) Method for producing a substrate provided with edge protection
TWI673781B (zh) 半導體裝置的形成方法
US20180006112A1 (en) Three-dimensional transisor
CN109560046B (zh) 半导体结构及其形成方法
WO2022062373A1 (zh) 半导体结构的制备方法及半导体结构
US10651092B2 (en) Semiconductor device and fabrication method thereof
CN107623034B (zh) 一种半导体器件及制备方法、电子装置
CN112117237A (zh) 半导体结构及其形成方法