TWI590383B - 半導體裝置結構與其形成方法 - Google Patents

半導體裝置結構與其形成方法 Download PDF

Info

Publication number
TWI590383B
TWI590383B TW104136963A TW104136963A TWI590383B TW I590383 B TWI590383 B TW I590383B TW 104136963 A TW104136963 A TW 104136963A TW 104136963 A TW104136963 A TW 104136963A TW I590383 B TWI590383 B TW I590383B
Authority
TW
Taiwan
Prior art keywords
gate
gate stack
unit
cover unit
semiconductor device
Prior art date
Application number
TW104136963A
Other languages
English (en)
Other versions
TW201642392A (zh
Inventor
巫柏奇
張家瑋
趙益承
張國輝
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201642392A publication Critical patent/TW201642392A/zh
Application granted granted Critical
Publication of TWI590383B publication Critical patent/TWI590383B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2

Description

半導體裝置結構與其形成方法
本揭露係關於半導體裝置結構,更特別關於其頂蓋層。
半導體積體電路(IC)產業已快速成長一段時日。IC材料與設計的技術進步,使每一代的IC都比前一代的IC更小更複雜。
在IC進化時,功能密度(單位晶片面積所具有的內連線裝置數目)增加,而裝置尺寸(製程技術所能達到的最小構件或線路)減少。上述縮小裝置的製程有利於增加產能並降低相關成本。
然而上述進展將增加IC製程的複雜度。由於結構尺寸持續縮小,製程也變得越來越複雜。如此一來,形成尺寸越來越小且可信的半導體裝置將面臨挑戰。
本揭露一實施例提供之半導體裝置結構,包括:閘極堆疊,位於半導體基板上;蓋單元位於閘極堆疊上,其中蓋單元具有較上部份與較下部份,且較上部份比較下部分寬;以及間隔物單元,位於蓋單元與閘極堆疊之側壁上。
本揭露一實施例提供之半導體裝置結構,包括: 閘極堆疊,位於半導體基板上;蓋單元,位於閘極堆疊上,其中蓋單元靠近閘極堆疊處具有第一寬度,蓋單元靠近蓋單元之較上部份具有第二寬度,且第二寬度大於第一寬度;以及間隔物單元,位於蓋單元與閘極堆疊之側壁上。
本揭露一實施例提供之半導體裝置結構的形成方法,包括:形成第一閘極於半導體基板上;形成多個間隔物單元於第一閘極之側壁上;移除部份第一閘極與部份間隔物單元,以形成凹陷於間隔物單元之間,其中凹陷自凹陷之底部朝凹陷之頂部變寬;移除保留的部份第一閘極,使凹陷更深;在移除保留的部份第一閘極後,形成金屬閘極堆疊於凹陷中;以及形成蓋單元於金屬閘極堆疊上與間隔物單元之間。
α、θ‧‧‧角度
L‧‧‧想像平面
W1‧‧‧第一寬度
W2‧‧‧第二寬度
100‧‧‧半導體基板
101‧‧‧鰭狀結構
104‧‧‧閘極介電層
106、122'‧‧‧閘極
108‧‧‧間隔物單元
108t、124t‧‧‧頂部
109‧‧‧閘極堆疊
112‧‧‧源極/汲極結構
114‧‧‧介電層
116a、116b、116c‧‧‧凹陷
118‧‧‧閘極介電層
120‧‧‧功函數層
122‧‧‧導電填充層
123‧‧‧金屬閘極堆疊
124‧‧‧蓋單元
124b、125B‧‧‧底部
124V、125S、125V‧‧‧側壁
第1A至1G圖係某些實施例中,形成半導體裝置結構之製程於多種階段中的剖視圖。
第2圖係某些實施例中,半導體裝置結構的剖視圖。
下述內容提供的不同實施例可實施本揭露的不同結構。特定構件與排列的實施例係用以簡化本揭露而非侷限本揭露。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本揭露之多種實例將重複標號及/或符號以簡化並清楚說明。不同實施例中具有相同標號的元件並不必然具有相同的對應關係及/或排列。標號重複僅為了簡化並清楚說明,並不代 表不同實施例及/或排列中具有相同標號的元件具有類似的相對關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
下述內容為某些實施例。第1A至1G圖係某些實施例中,半導體裝置結構於形成製程中多種階段的剖視圖。在第1A至1G圖中的階段之前、之中、及/或之後可進行額外步驟。在不同實施例中,可省略或置換某些階段。半導體裝置結構可添加額外結構。在不同實施例中,可省略或置換下述某些結構。
如第1A圖所示,提供半導體基板100。在某些實施例中,半導體基板100為基體半導體基板如半導體晶圓。舉例來說,半導體基板100為矽晶圓。半導體基板100可包含矽或其他半導體元素如鍺。在某些實施例中,半導體基板100包含半導體化合物。半導體化合物可包含砷化鎵、碳化矽、砷化銦、磷化銦、其他合適材料、或上述之組合。
在某些實施例中,半導體基板100包含絕緣層上半導體(SOI)基板。SOI基板的製作方法可為佈植氧隔離(SIMOX)製程、晶圓接合製程、其他可行方法、或上述之組合。
在某些實施例中,形成一或多個鰭狀結構。第1A圖所示為鰭狀結構之一,如鰭狀結構101。在某些實施例中, 形成多個凹陷或溝槽(未圖示)於半導體基板100中。如此一來,多個鰭狀結構如鰭狀結構101係形成於凹陷之間。在某些實施例中,凹陷的形成方法為一或多道微影與蝕刻製程。
如第1A圖所示之某些實施例中,隔離結構(未圖示)係形成於凹陷中以圍繞鰭狀結構的較下部份。隔離結構可用以定義與電極隔離半導體基板100之中及/或之上的多種裝置單元。在某些實施例中,隔離結構包含淺溝槽隔離(STI)結構、局部氧化矽(LOCOS)結構、其他合適隔離結構、或上述之組合。
在某些實施例中,每一隔離結構具有多層結構。在某些實施例中,隔離結構之組成為介電材料,其可包含氧化矽、氮化矽、氮氧化矽、掺雜氟矽酸鹽玻璃(FSG)、低介電常數介電材料、其他合適材料、或上述之組合。在某些實施例中,形成STI襯墊(未圖示)以降低半導體基板100與隔離結構之間的界面之結晶缺陷。同樣地,STI襯墊亦可用以降低鰭狀結構與隔離結構之間的界面之結晶缺陷。
在某些實施例中,介電材料層係沉積於半導體基板100上。介電材料層覆蓋鰭狀結構如鰭狀結構101,並填入鰭狀結構之間的凹陷。在某些實施例中,介電材料層之沉積方法包含化學氣相沉積(CVD)製程、旋轉塗佈製程、其他可行製程、或上述之組合。在某些實施例中,進行平坦化製程以薄化介電材料層,直到露出鰭狀結構101。平坦化製程可包含化學機械拋光(CMP)製程、研磨製程、蝕刻製程、其他可行製程、或上述之組合。之後回蝕刻介電材料層,使其低於鰭狀結構101的頂部。如此一來即形成隔離結構。在某些實施例中,鰭狀結構 如鰭狀結構101自隔離結構凸起。
如第1A圖所示之某些實施例中,閘極介電層104係沉積於隔離結構與鰭狀結構101上。在某些實施例中,閘極介電層104之組成為氧化矽、氮化矽、氮氧化矽、高介電常數之介電材料、其他合適介電材料、或上述之組合。高介電常數介電材料可包含氧化鉿、氧化鋯、氧化鋁、二氧化鉿-氧化鋁合金、氧化鉿矽、氮氧化鉿矽、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、其他合適高介電常數介電材料、或上述之組合。在某些實施例中,閘極介電層104為虛置閘極介電層,其將移除於後續製程中。在某些實施例中,可省略閘極介電層104。
在某些實施例中,閘極介電層104之沉積方法為化學氣相沉積(CVD)製程、原子層沉積(ALD)製程、熱氧化製程、物理氣相沉積(PVD)製程、其他可行製程、或上述之組合。
如第1A圖所示之某些實施例中,接著形成閘極106於閘極介電層104上以覆蓋部份鰭狀結構101。在某些實施例中,閘極為虛置閘極106,其將置換為金屬閘極於後續製程中。在某些實施例中,閘極106之組成為多晶矽。在某些實施例中,位於閘極106下方之部份鰭狀結構101,作為即將形成之電晶體的通道區。
在某些實施例中,沉積閘極層於閘極介電層104上的方法可為CVD製程或其他可行製程。在某些實施例中,閘極層之組成為多晶矽。在某些實施例中,接著形成圖案化的硬遮罩層(未圖示)於閘極層上。圖案化的硬遮罩層可用以將閘極層圖案化成一或多個閘極(如第1A圖所示之閘極106)。如第1A圖 所示之某些實施例中,此步驟亦圖案化閘極介電層104。閘極106與閘極介電層104一起組成閘極堆疊109。
在某些實施例中,閘極堆疊109為虛置閘極堆疊,其將置換為金屬閘極堆疊於後續製程中。在某些實施例中,閘極堆疊109包圍鰭狀結構101之側表面與上表面,且延伸至半導體基板100上。
在某些實施例中,圖案化的硬遮罩層包含第一硬遮罩層與第二硬遮罩層。第一硬遮罩層位於閘極層與第二硬遮罩層之間。在某些實施例中,第一硬遮罩層之組成為氮化矽。在某些實施例中,第二硬遮罩層之組成為氧化矽。在某些實施例中,第二硬遮罩層比第一硬遮罩層厚。
在某些實施例中,形成密封單元(未圖示)於閘極堆疊109的側壁上。密封單元可用以保護閘極堆疊109及/或有助於形成輕掺雜源極/汲極(LDS/D)區的後續製程。在某些實施例中,LDS/D區的形成方法可為離子佈植製程。在某些其他實施例中,可省略密封單元。在某些其他實施例中,可省略LDS/D區。
如第1A圖所示之某些實施例中,接著形成間隔物單元108於閘極堆疊109的側壁上。間隔物單元108可用以保護閘極堆疊109及/或有助於形成源極/汲極結構的後續製程。在某些實施例中,間隔物單元108之組成為介電材料,其可包含氮化矽、氮氧化矽、氧化矽、其他合適材料、或上述之組合。
在某些實施例中,沉積介電材料層於半導體基板100與閘極堆疊109上。介電材料層之沉積方法可為CVD製程、 ALD製程、旋轉塗佈製程、其他可行製程、或上述之組合。接著可移除部份介電材料層,且移除方法可為蝕刻製程如非等向蝕刻製程。如此一來,將保留介電材料層於閘極堆疊109之側壁上以形成間隔物單元108。
如第1A圖所示之某些實施例中,源極/汲極結構112係形成於部份鰭狀結構101上靠近閘極堆疊109除。在某些實施例中,移除部份鰭狀結構101以形成靠近間隔物單元108之凹陷。接著如第1A圖所示之某些實施例,進行磊晶成長製程以形成源極/汲極結構112。在某些實施例中,源極/汲極結構112亦可作為應力源,以施加應力至源極/汲極結構112之間的通道區。如此一來,可改善通道區的載子遷移。
如第1A圖所示之某些實施例中,介電層114圍繞閘極堆疊109。在某些實施例中,沉積介電材料層以覆蓋源極/汲極結構112、間隔物單元108、與閘極堆疊109。接著進行平坦化製程以移除部份介電材料層,直到露出閘極106。如此一來,即形成介電層114。
在某些實施例中,介電材料層之組成為氧化矽、氮氧化矽、硼矽酸鹽玻璃(BSG)、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、氟化矽酸鹽玻璃(FSG)、低介電常數介電材料、孔洞狀介電材料、其他合適材料、或上述之組合。在某些實施例中,介電材料層之沉積方法為CVD製程、ALD製程、旋轉塗佈製程、其他可行製程、或上述之組合。在某些實施例中,平坦化製程包含CMP製程、研磨製程、蝕刻製程、其他可行製程、或上述之組合。
在某些實施例中,之後進行多重蝕刻步驟以移除閘極106。在某些實施例中,這些蝕刻步驟可進行於相同的製程腔室中。
如第1B圖所示之某些實施例中,第一蝕刻步驟移除部份的閘極106以形成凹陷116a。間隔物單元108與保留其間的閘極106圍繞凹陷116a。在某些實施例中,凹陷116a之深度介於約10nm至約20nm之間。在某些例子中,在移除部份的閘極106後,間隔物單元108可朝凹陷116a稍微崩塌。如此一來,凹陷116a之開口變得較小。
在某些實施例中,第一蝕刻步驟與電漿有關。在某些實施例中,用於第一蝕刻步驟之反應氣體包含HBr、Cl2、其他類似氣體、或上述之組合。
如第1C圖所示之某些實施例中,第二蝕刻步驟進一步移除部份的閘極106,且橫向蝕刻間隔物單元108。在某些實施例中,第一蝕刻步驟對間隔物單元108的蝕刻速率,小於第二蝕刻步驟對間隔物單元108的蝕刻速率。
在第二蝕刻步驟後,凹陷116a變得更深如凹陷116b。在某些實施例中,凹陷116b之深度介於約20nm至約35nm之間。在某些實施例中,凹陷116b自凹陷116b的底部朝凹陷116b的頂部變寬,如第1C圖所示。
如第1C圖所示之某些實施例中,第二蝕刻步驟之蝕刻條件可精細地調整,以橫向移除間隔物單元108之較上部份。在某些實施例中,第二蝕刻步驟與電漿有關。在某些實施例中,用於第二蝕刻步驟之反應氣體包含CHF3、HBr、O2、Ar、 He、其他類似氣體、其他合適氣體、或上述之組合。在某些實施例中,CHF3之體積濃度介於約50%至約90%之間。在某些實施例中,HBr之體積濃度介於約20%至約30%之間。在某些實施例中,O2之體積濃度介於約10%至約40%之間。
由於橫向蝕刻間隔物單元108,凹陷116b具有較大開口。在某些實施例中,凹陷106b具有斜向側壁。在某些實施例中,凹陷116b具有弧形側壁。在某些實施例中,凹陷116b之寬度自凹陷116b之底部朝凹陷116b之頂部逐漸增加。在某些實施例中,凹陷116b自該閘極106b之頂部朝凹陷116b之頂部逐漸變大。
如第1D圖所示之某些實施例中,第三蝕刻步驟進一步移除保留的部份閘極106。在某些實施例中,第二蝕刻步驟對間隔物單元108之蝕刻速率,大於第三蝕刻步驟對間隔物單元108之蝕刻速率。在某些實施例中,第三蝕刻步驟實質上不移除間隔物單元108。
在第三蝕刻步驟後,凹陷116b變得更深如凹陷116c。在某些實施例中,凹陷116c之深度介於約60nm至約85nm之間。在某些實施例中,之後移除閘極介電層104。凹陷116c露出鰭狀結構101,如第1D圖所示。
在某些實施例中,第三蝕刻步驟與電漿有關。在某些實施例中,用於第三蝕刻步驟之反應氣體包含HBr、Cl2、其他類似氣體、其他合適氣體、或上述之組合。在某些其他實施例中,可在第一、第二、與第三蝕刻步驟之前、之中、及/或之後進行一或多道其他蝕刻步驟。
如第1E圖所示之某些實施例中,沉積金屬閘極堆疊層填入間隔物單元108之間的凹陷116c(或溝槽)。金屬閘極堆疊層可包含閘極介電層118、功函數層120、與導電填充層122。在金屬閘極堆疊層之間,可形成一或多個其他層。舉例來說,阻障層可形成於閘極介電層118與功函數層120之間。阻擋層可形成於功函數層120與導電填充層122之間。在某些實施例中,因為凹陷116c在前述蝕刻步驟後變的較寬(與第1B圖中的凹陷116a相較),填充金屬閘極堆疊變得較容易。
在某些實施例中,閘極介電層118之組成為高介電常數之介電材料。閘極介電層118之組成可為氧化鉿、氧化鋯、氧化鋁、二氧化鉿-氧化鋁合金、氧化鉿矽、氮氧化鉿矽、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、其他合適高介電常數介電層、或上述之組合。
功函數層120係用以提供電晶體所需之功函數,可增加裝置效能如改善臨界電壓。在某些實施例中,功函數層120為n型金屬層,其可提供適用於裝置的功函數如小於或等於約4.5eV。在某些實施例中,功函數層120為p型金屬層,其可提供適用於裝置的功函數如大於或等於約4.8eV。
n型金屬層可包含金屬、金屬碳化物、金屬氮化物、或上述之組合。舉例來說,n型金屬層包含氮化鈦、鉭、氮化鉭、其他合適材料、或上述之組合。p型金屬層可包含金屬、金屬碳化物、金屬氮化物、其他合適材料、或上述之組合。舉例來說,p型金屬層包含氮化鉭、氮化鎢、鈦、氮化鈦、其他合適材料、或上述之組合。
功函數層120之組成亦可為鉿、鋯、鈦、鉭、鋁、金屬碳化物(如碳化鉿、碳化鋯、碳化鈦、碳化鋁)、鋁化物、釕、鈀、鉑、鈷、鎳、導電金屬氧化物、或上述之組合。精細調整功函數層120之厚度及/或組成,可調整其功函數等級。舉例來說,氮化鈦層可作為p型金屬層或n型金屬層,端視其厚度及/或組成而定。
在某些實施例中,導電填充層122之組成為金屬材料,其可包含鎢、鋁、銅、其他合適材料、或上述之組合。金屬閘極堆疊層的形成方法有關於多重沉積製程,其可包含CVD製程、ALD製程、PVD製程、電鍍製程、無電電鍍製程、旋轉塗佈製程、其他可行製程、或上述之組合。
如第1F圖所示之某些實施例中,進行平坦化製程以移除間隔物單元108之間的凹陷(或溝槽)之外的部份金屬閘極堆疊層。如此一來,即形成金屬閘極堆疊123。金屬閘極堆疊123包含閘極介電層118、功函數層120、與部份之導電填充層122如閘極122'(比如金屬閘極)。
如第1G圖所示之某些實施例中,回蝕刻金屬閘極堆疊123。在某些實施例中,回蝕刻製程後的閘極122'自功函數層120及/或閘極介電層118之上表面凸起。
在某些實施例中,由於橫向蝕刻間隔物單元108,因此形成之凹陷具有較大開口。如此一來,回蝕刻金屬閘極堆疊123之蝕刻製程,因較大開口而順利進行。形成蓋單元及形成導電接點至金屬閘極堆疊123之後續製程亦明顯改善。
如第1G圖所示之某些實施例中,形成蓋單元124於 回蝕刻後的金屬閘極堆疊123上。在某些實施例中,蓋單元124直接接觸金屬閘極堆疊123。在某些實施例中,蓋單元124直接接觸閘極122'。在某些實施例中,蓋單元124直接接觸功函數層120。在某些實施例中,蓋單元124直接接觸閘極介電層118。在某些實施例中,蓋單元124直接接觸間隔物單元108。在某些實施例中,閘極122'穿入蓋單元124。在某些實施例中,蓋單元圍繞閘極122'的較上部份。在某些實施例中,蓋單元124連續地圍繞閘極122'的較上部份。
在某些實施例中,蓋單元124之組成為介電材料,其可包含氮化矽、氮氧化矽、其他合適材料、或上述之組合。在某些實施例中,保護材料層(如介電層)係沉積於介電層114、間隔物單元108、與回蝕刻後的金屬閘極堆疊123上。在某些實施例中,保護材料層之組成為介電材料,其可包含氮化矽、氮氧化矽、碳化矽、碳氮化矽、氧化物、其他類似材料、其他合適材料、或上述之組合。在某些實施例中,保護材料層之沉積方法為CVD製程、ALD製程、旋轉塗佈製程、其他可行製程、或上述之組合。
在某些實施例中,之後移除間隔物單元108之間的凹陷之外的保護材料層。如此一來,保留的部份保護材料層將形成蓋單元124,如第1G圖所示。在某些實施例中,平坦化製程可用來移除部份的保護材料層,以形成蓋單元124。在某些實施例中,平坦化製程包含化學機械拋光(CMP)製程、研磨製程、蝕刻製程、其他可行製程、或上述之組合。
如第1G圖所示,蓋單元124具有底部124t與底部 124b。蓋單元124在靠近金屬閘極堆疊123處(或底部124b)具有第一寬度W1,且在靠近蓋單元124之頂部124t處具有第二寬度W2。第二寬度W2大於第一寬度W1。在某些實施例中,第一寬度W1介於約25nm至約35nm之間。在某些實施例中,第二寬度W2介於約27nm至約37nm之間。在某些實施例中,蓋單元124具有較上部份與較下部份,且較上部份比較下部份寬,如第1G圖所示。
在某些實施例中,蓋單元124自頂部124t朝底部124b逐漸變窄。在某些實施例中,蓋單元124自頂部124t朝金屬閘極堆疊123逐漸變窄。在某些實施例中,間隔物單元108自蓋單元124之底部124b朝間隔物單元108之頂部108t逐漸變窄。
如第1G圖所示,金屬閘極堆疊123之底部125B與側壁125V之間具有角度α。在某些實施例中,仔細控制角度α至合適範圍。在某些實施例中,角度α介於約85度至約95度之間。如第1G圖所示,蓋單元124之側壁125S,自金屬閘極堆疊123之側壁124V朝蓋單元124之頂部124t延伸之想像平面L之間,具有角度θ。在某些實施例中,仔細控制角度θ至合適範圍。在某些實施例中,角度θ介於約1度至約10度之間。
本揭露之實施例可具有多種變化及/或改良。第2圖係某些實施例中,半導體裝置結構的剖視圖。相同標號將用以標示類似單元。在某些實施例中,源極/汲極結構係形成於鰭狀結構101中的掺雜區。在某些實施例中,可省略鰭狀結構101。在這些例子中,源極/汲極結構係形成於半導體基板100中的掺雜區。
本揭露實施例形成之半導體裝置結構具有閘極堆疊,以及間隔物單元位於閘極堆疊之側壁上。移除間隔物單元與閘極堆疊的較上部份,以形成凹陷於閘極堆疊上與間隔物單元之間。此凹陷具有較寬的較上部份,與較窄的較下部份。之後移除保留的部份閘極堆疊。之後形成金屬閘極堆疊於凹陷中,再進行回蝕刻。接著形成蓋單元於金屬閘極堆疊上與間隔物單元之間。由於凹陷的形狀,可改善移除保留之部份閘極堆疊、形成金屬閘極堆疊、回蝕刻金屬閘極堆疊、以及形成蓋單元之製程。
在某些實施例中,半導體裝置結構包括閘極堆疊位於半導體基板上,以及蓋單元位於閘極堆疊上。蓋單元具有較上部份與較下部份,且較上部份比較下部分寬。半導體裝置結構亦包含間隔物單元位於蓋單元與閘極堆疊之側壁上。
在某些實施例中,半導體裝置結構包括閘極堆疊位於半導體基板上。半導體裝置結構亦包含蓋單元位於閘極堆疊上,蓋單元靠近閘極堆疊處具有第一寬度,且蓋單元靠近蓋單元之較上部份具有第二寬度。第二寬度大於第一寬度。半導體裝置結構亦包含間隔物單元位於蓋單元與閘極堆疊之側壁上。
在某些實施例中,半導體裝置結構的形成方法包括:形成第一閘極於半導體基板上,以及形成多個間隔物單元於第一閘極之側壁上。上述方法亦包含移除部份第一閘極與部份間隔物單元,以形成凹陷於間隔物單元之間。凹陷自凹陷之底部朝凹陷之頂部變寬。上述方法亦包含移除保留的部份第一 閘極,使凹陷更深。此外,上述方法在移除保留的部份第一閘極後,形成金屬閘極堆疊於凹陷中。上述方法亦包含形成蓋單元於金屬閘極堆疊上與間隔物單元之間。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本揭露。本技術領域中具有通常知識者應理解可採用本揭露作為基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本揭露之精神與範疇,並可在未脫離本揭露之精神與範疇的前提下進行改變、替換、或更動。
α、θ‧‧‧角度
L‧‧‧想像平面
W1‧‧‧第一寬度
W2‧‧‧第二寬度
100‧‧‧半導體基板
101‧‧‧鰭狀結構
108‧‧‧間隔物單元
108t、124t‧‧‧頂部
114‧‧‧介電層
118‧‧‧閘極介電層
120‧‧‧功函數層
122'‧‧‧閘極
123‧‧‧金屬閘極堆疊
124‧‧‧蓋單元
124b、125B‧‧‧底部
125S、125V‧‧‧側壁

Claims (10)

  1. 一種半導體裝置結構,包括:一閘極堆疊,位於一半導體基板上;一蓋單元位於該閘極堆疊上,其中該蓋單元具有一較上部份與一較下部份,且該較上部份比該較下部分寬;以及一間隔物單元,位於該蓋單元與該閘極堆疊之側壁上,其中該閘極堆疊包一功函數層,以及該功函數層圍繞的一閘極,且該閘極自該功函數層之上表面凸起並穿入該蓋單元中。
  2. 如申請專利範圍第1項所述之半導體裝置結構,其中該蓋單元直接接觸該功函數層或該閘極。
  3. 如申請專利範圍第1項所述之半導體裝置結構,其中該蓋單元自該蓋單元之頂部朝該閘極堆疊逐漸變窄,且其中該間隔物單元自該蓋單元之底部朝該間隔物單元之頂部逐漸變窄。
  4. 如申請專利範圍第1項所述之半導體裝置結構,其中該蓋單元直接接觸該間隔物單元。
  5. 如申請專利範圍第1項所述之半導體裝置結構,其中該閘極堆疊包括一閘極介電層,且該閘極介電層直接接觸該蓋單元。
  6. 如申請專利範圍第1項所述之半導體裝置結構,其中該蓋單元之側壁的較上部份,與自該閘極堆疊側壁朝該蓋單元之頂部延伸之想像平面之間具有一角度,且該角度介於約1度至約10度之間。
  7. 一種半導體裝置結構,包括:一閘極堆疊,位於一半導體基板上;一蓋單元,位於該閘極堆疊上,其中該蓋單元靠近該閘極堆疊處具有第一寬度,該蓋單元靠近該蓋單元之較上部份具有一第二寬度,且該第二寬度大於該第一寬度;以及一間隔物單元,位於該蓋單元與該閘極堆疊之側壁上,其中該閘極堆疊包括一功函數層,以及該功函數層圍繞的一閘極,其中該蓋單元圍繞該閘極的較上部份。
  8. 如申請專利範圍第7項所述之半導體裝置結構,其中該蓋單元直接接觸該閘極堆疊與該間隔物單元。
  9. 一種半導體裝置結構的形成方法,包括:形成一第一閘極於一半導體基板上;形成多個間隔物單元於該第一閘極之側壁上;移除部份該第一閘極與部份該些間隔物單元,以形成凹陷於該些間隔物單元之間,其中該凹陷自該凹陷之底部朝該凹陷之頂部變寬;移除保留的部份該第一閘極,使該凹陷更深;在移除保留的部份該第一閘極後,形成一金屬閘極堆疊於該凹陷中;以及形成一蓋單元於該金屬閘極堆疊上與該些間隔物單元之間。
  10. 如申請專利範圍第9項所述之半導體裝置結構的形成方法,更包括在形成該蓋單元之前先回蝕刻該金屬閘極堆疊,其中該金屬閘極堆疊包括一功函數層與該功函數層圍 繞的一第二閘極,且在回蝕刻該金屬閘極堆疊之步驟後,該第二閘極自該功函數層之上表面凸起。
TW104136963A 2015-05-22 2015-11-10 半導體裝置結構與其形成方法 TWI590383B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562165369P 2015-05-22 2015-05-22
US14/818,965 US10411113B2 (en) 2015-05-22 2015-08-05 Structure and formation method of semiconductor device structure

Publications (2)

Publication Number Publication Date
TW201642392A TW201642392A (zh) 2016-12-01
TWI590383B true TWI590383B (zh) 2017-07-01

Family

ID=57231383

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104136963A TWI590383B (zh) 2015-05-22 2015-11-10 半導體裝置結構與其形成方法

Country Status (5)

Country Link
US (4) US10411113B2 (zh)
KR (2) KR20160137316A (zh)
CN (2) CN106169419A (zh)
DE (1) DE102015113250B4 (zh)
TW (1) TWI590383B (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9425048B2 (en) * 2013-11-06 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for semiconductor device structure
US10411113B2 (en) * 2015-05-22 2019-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9893062B2 (en) * 2016-04-28 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10937783B2 (en) * 2016-11-29 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102328279B1 (ko) * 2017-08-11 2021-11-17 삼성전자주식회사 반도체 소자
US10418453B2 (en) * 2017-11-22 2019-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Forming metal contacts on metal gates
KR102432866B1 (ko) 2017-11-29 2022-08-17 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10672887B2 (en) * 2017-12-12 2020-06-02 International Business Machines Corporation Vertical FET with shaped spacer to reduce parasitic capacitance
CN112018036A (zh) * 2019-05-30 2020-12-01 台湾积体电路制造股份有限公司 半导体装置结构的制造方法
US11522083B2 (en) * 2019-10-18 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11430865B2 (en) 2020-01-29 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11217586B2 (en) * 2020-01-31 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having dummy fin physically separating the first and second gate stacks
US11398384B2 (en) * 2020-02-11 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for manufacturing a transistor gate by non-directional implantation of impurities in a gate spacer

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6806534B2 (en) 2003-01-14 2004-10-19 International Business Machines Corporation Damascene method for improved MOS transistor
US20050203551A1 (en) * 2004-03-09 2005-09-15 Kevin Weadock Method for performing a coronary artery bypass graft procedure
JP2006032410A (ja) * 2004-07-12 2006-02-02 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US7943992B2 (en) * 2008-06-10 2011-05-17 Intel Corporation Metal gate structures with recessed channel
US8035165B2 (en) 2008-08-26 2011-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating a first contact structure in a gate last process
US8048790B2 (en) 2009-09-17 2011-11-01 Globalfoundries Inc. Method for self-aligning a stop layer to a replacement gate for self-aligned contact integration
US8637936B2 (en) 2009-09-25 2014-01-28 United Microelectronics Corp. Metal gate transistor with resistor
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8084346B1 (en) * 2010-10-20 2011-12-27 International Business Machines Corporation Replacement metal gate method
US8946006B2 (en) * 2010-10-28 2015-02-03 International Business Machines Corporation Replacement gate MOSFET with raised source and drain
US8536656B2 (en) 2011-01-10 2013-09-17 International Business Machines Corporation Self-aligned contacts for high k/metal gate process flow
US9337192B2 (en) * 2011-09-24 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate stack having TaAlCN layer
US8617973B2 (en) 2011-09-28 2013-12-31 GlobalFoundries, Inc. Semiconductor device fabrication methods with enhanced control in recessing processes
US8772168B2 (en) 2012-01-19 2014-07-08 Globalfoundries Singapore Pte. Ltd. Formation of the dielectric cap layer for a replacement gate structure
US20130187236A1 (en) 2012-01-20 2013-07-25 Globalfoundries Inc. Methods of Forming Replacement Gate Structures for Semiconductor Devices
US8507979B1 (en) * 2012-07-31 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor integrated circuit with metal gate
US8896030B2 (en) * 2012-09-07 2014-11-25 Intel Corporation Integrated circuits with selective gate electrode recess
US20140179093A1 (en) * 2012-12-20 2014-06-26 GlobalFoundries, Inc. Gate structure formation processes
US9202691B2 (en) 2013-01-18 2015-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having modified profile metal gate
US8946793B2 (en) 2013-02-05 2015-02-03 GlobalFoundries, Inc. Integrated circuits having replacement gate structures and methods for fabricating the same
US8835244B2 (en) * 2013-02-21 2014-09-16 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits having metal gate electrodes
KR20140121634A (ko) 2013-04-08 2014-10-16 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9064814B2 (en) 2013-06-19 2015-06-23 United Microelectronics Corp. Semiconductor structure having metal gate and manufacturing method thereof
US9257348B2 (en) 2013-08-06 2016-02-09 Globalfoundries Inc. Methods of forming replacement gate structures for transistors and the resulting devices
CN104377132A (zh) * 2013-08-13 2015-02-25 中国科学院微电子研究所 半导体器件及其制造方法
US9105497B2 (en) * 2013-09-04 2015-08-11 Globalfoundries Inc. Methods of forming gate structures for transistor devices for CMOS applications
US9520474B2 (en) 2013-09-12 2016-12-13 Taiwan Semiconductor Manufacturing Company Limited Methods of forming a semiconductor device with a gate stack having tapered sidewalls
US9306032B2 (en) * 2013-10-25 2016-04-05 United Microelectronics Corp. Method of forming self-aligned metal gate structure in a replacement gate process using tapered interlayer dielectric
US20150118836A1 (en) 2013-10-28 2015-04-30 United Microelectronics Corp. Method of fabricating semiconductor device
KR102125749B1 (ko) * 2013-12-27 2020-07-09 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9412822B2 (en) * 2014-03-07 2016-08-09 Globalfoundries Inc. Methods of forming stressed channel regions for a FinFET semiconductor device and the resulting device
CN105280486B (zh) * 2014-07-23 2020-09-22 联华电子股份有限公司 金属栅极结构的制作方法
US10411113B2 (en) * 2015-05-22 2019-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure

Also Published As

Publication number Publication date
US20190334016A1 (en) 2019-10-31
US20190305113A1 (en) 2019-10-03
DE102015113250B4 (de) 2020-09-17
US11444176B2 (en) 2022-09-13
US20220359726A1 (en) 2022-11-10
KR20160137316A (ko) 2016-11-30
KR20170101171A (ko) 2017-09-05
US10411113B2 (en) 2019-09-10
US11133400B2 (en) 2021-09-28
CN112490291A (zh) 2021-03-12
CN106169419A (zh) 2016-11-30
TW201642392A (zh) 2016-12-01
KR101804733B1 (ko) 2017-12-04
US20160343827A1 (en) 2016-11-24
DE102015113250A1 (de) 2016-11-24

Similar Documents

Publication Publication Date Title
TWI590383B (zh) 半導體裝置結構與其形成方法
TWI575662B (zh) 半導體裝置結構與其形成方法
TWI602295B (zh) 半導體裝置及其製造方法
US11798984B2 (en) Seamless gap fill
US20230378253A1 (en) Structure and formation method of semiconductor device structure with gate stack
US20220029002A1 (en) Method of fabricating a semiconductor device
TWI609416B (zh) 半導體裝置結構及其製造方法
TW201729280A (zh) 半導體裝置結構
TWI656567B (zh) 半導體裝置及製造方法
US20200027988A1 (en) Structure and formation method of semiconductor device structure
TW202040653A (zh) 半導體裝置的形成方法
US11855167B2 (en) Structure and formation method of semiconductor device with nanosheet structure
US20220359763A1 (en) Structure and formation method of semiconductor device with embedded epitaxial structure