TW201738955A - 具有可調節選擇性之等向性矽與矽化鍺蝕刻 - Google Patents

具有可調節選擇性之等向性矽與矽化鍺蝕刻 Download PDF

Info

Publication number
TW201738955A
TW201738955A TW106106786A TW106106786A TW201738955A TW 201738955 A TW201738955 A TW 201738955A TW 106106786 A TW106106786 A TW 106106786A TW 106106786 A TW106106786 A TW 106106786A TW 201738955 A TW201738955 A TW 201738955A
Authority
TW
Taiwan
Prior art keywords
substrate
processing
crucible
material layer
gas
Prior art date
Application number
TW106106786A
Other languages
English (en)
Other versions
TWI625785B (zh
Inventor
蘇巴迪普 卡爾
坎達巴拉 N 泰伯利
艾倫 莫斯登
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201738955A publication Critical patent/TW201738955A/zh
Application granted granted Critical
Publication of TWI625785B publication Critical patent/TWI625785B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/322Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本案涉及具有可調整選擇性的等向性矽及矽鍺蝕刻方法。該方法包括:接收具有矽層及矽鍺層的基板,而矽及矽鍺的側壁表面未受覆蓋;將基板置於處理腔室之中,處理腔室係配置以蝕刻基板;及藉由將矽及矽鍺的未受覆蓋表面曝露至自由基物種,以使矽及矽鍺的未受覆蓋表面改質。該方法更包括:執行氣體化學氧化物移除處理,其包括在第一基板溫度下,流入含氮氣體及含氟氣體的混合物以形成氟副產物,其後接著在高於第一基板溫度的第二基板溫度下,執行昇華處理以移除氟副產物;及控制第二基板溫度,以調整相對於矽鍺氧化物材料之矽氧化物材料的昇華速率及蝕刻選擇性。

Description

具有可調節選擇性之等向性矽與矽化鍺蝕刻
本發明大致涉及半導體裝置中之特徵部的製作,尤其關於提供具有可調整選擇性之矽及矽鍺之等向性蝕刻的方法。
[相關案件交互參照] 本申請案涉及申請於2016年3月2日之美國臨時申請案第62/302584號,並主張其優先權,該美國臨時申請案的全部內容係於此併入作為參考。本申請案亦涉及申請於2016年3月2日之美國臨時申請案第62/302587號,並主張其優先權,該美國臨時申請案的全部內容係於此併入作為參考。
半導體裝置的製作係於半導體基板(晶圓)或其他基板上形成特徵部的多重步驟製程。這些步驟可包括材料生長、圖案化、摻雜、沉積、蝕刻、金屬化、平坦化等等。形成於基板上之特徵部可包括各種電晶體。這些電晶體可為平面或非平面,且亦可具有單一閘極或多數閘極。
許多蝕刻處理的優點為能相對於另一材料而蝕刻某一材料,以將此材料移除,而另一材料大部分留在基板上。例如,光阻的名稱部分是因為其抵抗受乾式電漿蝕刻處理的蝕刻。習知上,光阻材料係形成為起伏圖案,其作為蝕刻遮罩之功能,以將圖案蝕刻轉移至一或更多下方材料內。
於此所述之方法提供相對於SiGe、Ge、及其他膜具有高選擇性的乾式等向性Si蝕刻,其包括可循環重複以滿足各種蝕刻需求的二步驟製程。如此之蝕刻方法可提供至少4:1(例如10:1或更大)的蝕刻選擇性比例。根據其他實施方式,這些方法係提供以等向非選擇性蝕刻多晶或非晶Si及SiGe或Ge或其他膜。這類的蝕刻方法可針對特定的配對材料而提供約1:1的蝕刻選擇性比例。
為了清楚說明起見而提出如於此所述之各個步驟的討論順序。一般而言,這些步驟可以任何適當的順序執行。此外,雖然本文之各個不同的特徵、技術、配置等等會在此揭露內容的不同地方予以討論,但意欲使各個概念可彼此獨立執行或彼此結合執行。因此,本發明可用許多不同方式來實現及檢視。
此發明內容章節並非要指出本揭露內容或所請發明的每一個實施方式及/或增加新穎性實施態樣。反而此發明內容章節僅提供不同實施方式及相對於習知技術具有新穎特點的初步討論。關於本發明及實施方式的額外細節及/或可能觀點,讀者可詳見如以下進一步討論之本揭露內容的實施方式章節及對應圖式。
根據一實施方式,該方法包括:接收具有矽層及矽鍺層的基板,而矽及矽鍺的側壁表面未受覆蓋;將基板置於處理腔室之中,處理腔室係配置以蝕刻基板;及藉由將矽及矽鍺的未受覆蓋表面曝露至自由基物種,以使矽及矽鍺的未受覆蓋表面改質。該方法更包括:執行氣體化學氧化物移除處理,其包括在第一基板溫度下,流入含氮氣體及含氟氣體的混合物以形成氟副產物,其後接著在高於第一基板溫度的第二基板溫度下,執行昇華處理以移除氟副產物;及控制第二基板溫度,使得矽氧化物材料在以下列速率下昇華:(a)比矽鍺氧化物材料的昇華速率至少高四倍,或(b)約等於矽鍺氧化物材料的昇華速率。該方法可更包括在使矽及矽鍺的未受覆蓋表面改質的步驟與執行氣體化學氧化物移除處理的步驟之間進行循環。
根據另一實施方式該方法包括:接收具有第一材料層及第二材料層的基板,第一材料層係選擇自由矽、矽氮化物、矽氧化物、及矽氧氮化物所組成之群組,第二材料層係選擇自由矽鍺、SiGeAs、GeAs、InGaAs、TiOx、HfO、ZrO、及ZrN所組成之群組,而第一材料層及第二材料層的側壁表面未受覆蓋;將基板置於處理腔室之中,處理腔室係配置以蝕刻基板;及藉由將第一材料層及第二材料層的未受覆蓋表面曝露至自由基物種,以使第一材料層及第二材料層的未受覆蓋表面改質。該方法更包括:執行氣體化學氧化物移除處理,其包括在第一基板溫度下,流入含氮氣體及含氟氣體的混合物以形成氟副產物,其後接著在高於第一基板溫度的第二基板溫度下,執行昇華處理以移除氟副產物;及控制第二基板溫度,使得第一材料層在以下列速率下昇華(a)比第二材料層的昇華速率至少高四倍,或(b)約等於第二材料層的昇華速率。該方法可更包括在使第一材料層及第二材料層的未受覆蓋表面改質的步驟與執行氣體化學氧化物移除處理的步驟之間進行循環。
用於半導體製作的各種不同材料具有不同程度的蝕刻抗性。對於各種製作步驟,期望在二或更多材料之間能具有高度的蝕刻選擇性。可惜的是,習知上所使用的許多材料具有相當類似的蝕刻抗性,而使得難以在蝕刻某一材料的情況下,實質上不蝕刻到其他材料。若特定配對材料對於某一特定蝕刻化學物質僅具有約2:1的蝕刻速率比例,則在將作為移除目標的特定材料移除時,卻也可能損失另一材料的一半高度、寬度等等,而這可能導致裝置失效、或無法用於製造的蝕刻處理。在其他製造方案中,期望能具有在二種化學性質不同的材料之間一致的蝕刻速率。然而,特定配對材料可能具有非期望的蝕刻速率。
非晶矽(a-Si)、多晶矽(poly-Si)及單晶Si結合矽鍺(SiGe,其係具有不同Si:Ge成分之矽與鍺的合金,即Six Ge1-x )係廣泛用於半導體工業的各種應用。對於次10 nm技術節點而言,已證實將SiGe作為源極-汲極材料具有改善電晶體電性效能的潛力。針對設計及製作基於三維(3D)Si及SiGe的場效電晶體(FET,field effect transistor)之複雜製造流程通常在許多製作階段都有具挑戰性的要求。其中一項挑戰是對於SiGe而具有相當高選擇性的橫向Si蝕刻,及對於Si而具有相當高選擇性的橫向SiGe蝕刻。另一項挑戰是實現相當高深寬比的蝕刻,包括大於5:1的深寬比。另一項挑戰是相對於例如矽氮化物(SiN)及二氧化矽(SiO2 )之硬遮罩材料具有高選擇性,儘管部分硬遮罩損耗對於一些整合方案而言是可接受的。又另一項挑戰是以相同速率蝕刻矽、矽鍺、或鍺來實現保形修整或蝕刻步驟。如此之設計需求可基於產生一平順的蝕刻輪廓。
一些裝置(例如半導體奈米線及3D結構)的製作有時需要對化學性質不同的特定配對材料進行保形蝕刻、微縮、或修整。如此之保形蝕刻會需要橫向蝕刻二或更多層。在需要橫向蝕刻的情況下,垂直非等向性蝕刻技術無法成功實現。由於等向性蝕刻的需求,基於電漿的蝕刻面臨攸關方向性的限制,且通常攸關對於各種膜的選擇性。此外,基於電漿的蝕刻亦具有引發損壞的傾向。如此之損壞情況使得製程窗口(process window)受到限制。再者,裝置程度上(例如FET模組)之此類損壞情況傾向於損及電晶體的電性效能,且甚至促成失效。基於電漿的蝕刻之一選擇性方法係濕蝕刻。一般的濕蝕刻化學物質包括使用氫氟酸(HF)及硝酸(HNO3 )的混合物,其可用以蝕刻矽。如此之濕蝕刻具有一些挑戰。濕蝕刻化學物質的疏水性可能阻礙對於具有緊密節距及/或具有高深寬比的特徵部之完全蝕刻。當濕蝕刻化學物質與摻質(例如:硼及磷摻質)互相作用時,濕蝕刻化學物質的使用亦可能導致圖案崩塌或產生蝕刻停止/缺陷。
另一選擇性方法係基於氣體的化學蝕刻。基於氣體的化學蝕刻可為完全等向性,而無基於電漿之等向性蝕刻的伴隨損壞情況。其中之一選擇係化學氧化物移除(COR,chemical oxide removal)處理。化學氧化物移除使用不同比例的氟基氣體(如HF、F2 )及氮基氣體(如NH3 、NF3 )的混合物。使用這些氣體的化學反應可在壓力約15毫托耳(或以上)且溫度大於25℃的情況下進行,以形成固態六氟矽酸銨,其後接著在超過100℃的溫度下進行氣化。矽氧化物或矽氮化物硬遮罩的移除量可隨時間、壓力、或溫度而變。然而,如此之基於氣體的化學蝕刻並無法按照慣例為先進的材料組合提供期望的蝕刻選擇性。例如基於NH3 /F2 的氣相蝕刻,當其與Si及SiGe材料組合一起執行時,將產生蝕刻比例為1.2:1的Si:SiGe選擇性。許多裝置設計規格要求大於10:1的Si:SiGe選擇性。
根據一些實施方式,提出基於氣體的蝕刻方法,其可提供至少4:1的Si:SiGe選擇性,例如10:1或更大。因此,可實現無損壞的橫向裝置蝕刻。
根據其他實施方式,提出基於氣體的蝕刻方法,其可以幾乎相同的蝕刻速率對Si、SiGe、及Ge的組合進行蝕刻。因此,可實現無損壞的橫向裝置蝕刻,同時提供平順的輪廓。
另一範例實施方式係用以處理基板的方法。接收具有Si層及SiGe層的基板,而Si及SiGe的側壁表面未受覆蓋。此可包括待蝕刻之橫向相間的Si層及SiGe層。基板可包括遮罩材料層。基板係設置在處理腔室中,該處理腔室係配置以蝕刻基板,如蝕刻腔室。
接著,使基板上的表面改質。藉由將Si及SiGe的未受覆蓋表面曝露至自由基物種,以使Si及SiGe的未受覆蓋表面改質。例如,可用氧(O)、氫(H)、或O及H的組合之自由基物種來處理Si及SiGe結構。於此處理期間,曝露之Si及SiGe表面受到化學性及/或物理性改質。改質表面的組成物可包括Si及Ge的氧化形式、或Si及SiGe的植入膜、或兩者之組合。基於鈍氣(例如:氬(Ar)、氦(He)等等)、鹵化物(例如:氟(F)、氯(Cl)等等)的其他數種自由基物種亦可用於改質。此表面改質可基於即將產生之結構的深寬比及蝕刻需求,以控制欲進行改質之膜的速率或深度。此類控制可藉由改變製程的氣體壓力、基板溫度或腔室溫度、氣體流量及曝露時間來達成。
根據一實施方式,然後執行氣體化學氧化物移除處理,其包括在第一基板溫度下,流入含氮氣體及含氟氣體的混合物以在基板上形成氟副產物,其後接著在高於第一基板溫度的第二基板溫度下,執行昇華處理以自基板移除氟副產物。根據一實施方式,該方法包括控制第二基板溫度,以使矽氧化物蝕刻副產物材料以比矽鍺氧化物蝕刻副產物材料的昇華速率至少高四倍之速率進行昇華。根據另一實施方式,該方法包括控制第二基板溫度,以使矽氧化物材料以約等於矽鍺氧化物材料的昇華速率之速率進行昇華。因此,利用具有調整一改質膜相對其他膜的選擇性之能力的蝕刻技術,以對改質表面進行等向性蝕刻。可藉由所選擇的化學物質或調整蝕刻配方參數來產生如此之選擇性。
可一起利用藉由氧化及化學氧化物移除的表面改質步驟,致使在相同速率下移除二或更多材料,從而使得蝕刻為無選擇性。該技術之此實施態樣尤其具有以下優點:在需要降低選擇性的情況下,而材料係難以用特定化學物質進行蝕刻或材料具有固有的高選擇性。取決於一組特定材料,離子及製程條件的選擇可實現蝕刻均勻性。通常,基於HF/NH3 的COR蝕刻化學物質將以非常低的速率蝕刻Si及SiGe。然而,在有了本文技術的情況下,蝕刻化學物質可調整成對於Si與SiGe之間無選擇性。這是藉著自由基氧(其可使Si及SiGe之物種氧化)的表面/膜改質而成為可能。接著,可利用基於F及N的化學物質之化學氧化物移除,對這些改質膜進行蝕刻。
為達成上述之高選擇等向性蝕刻,可使用化學氧化物移除的改質。此氣相蝕刻係藉由下列方式達成:使含氮氣體(例如:NH3 、NF3 等等)吸附在Si及Si-Ge的表面上,以使該些表面活化而與含氟及/或含氫氣體(例如:HF、F2 )反應以形成氟化副產物。在一範例中,含氮氣體及含氟氣體可藉由從處理腔室遠端執行的電漿處理而產生。改質膜的組成物可用以決定氟化副產物的組成物。舉例而言,對於Si類型的改質膜,反應副產物可為[(Si)l Om Fn Hp ],而對於SiGe類型的改質膜,副產物可為[(Si)l (Ge)q Om Fn Hp ]的形成。然後,在較高溫度、降低壓力(低於50 mT)下,使副產物昇華。副產物的組成物可用以確認適當的昇華溫度。例如,GeF4 在1000℃昇華,鍺氧化物在550℃以上昇華(高真空下),但(NH4 )2 SiF6 在100℃昇華(降低壓力下)。氧化溫度範圍在此可介於25℃與90℃之間。至於昇華步驟,昇華溫度可介於100℃至225℃之間。藉由謹慎地調整昇華溫度,可使[(Si)l Om Fn Hp ]相對於[(Si)l (Ge)q Om Fn Hp ]進行選擇性地昇華。應注意到精確的昇華溫度可取決於特定材料(例如其種類、摻雜量等等)的確切成分和壓力及環境氣體。此選擇性昇華的過程使下方膜再生(或曝露),以進行進一步的氧化。未昇華的膜為後續蝕刻及改質提供保護層。改質膜之間的額外程度之蝕刻選擇性可藉由調整不同材料的蝕刻/反應速率(藉由(A)改變材料表面上之蝕刻氣體的吸附、及(B)改變蝕刻氣體的可用率或濃度)來達成。
於化學氧化物移除期間,亦可蝕刻硬遮罩材料(如SiO)。取決於特定製程,這是可接受的(尤其若在特定硬遮罩蝕刻速率接近下方材料的相同速率)。應注意到一些製程可能需要塗佈新的硬遮罩以供後續處理。
圖1A–1E經由示意橫剖面圖顯示根據本發明之實施方式之用以處理基板的方法。這些圖式繪示本文之範例蝕刻處理的過程。應注意到,Si層可受到橫向蝕刻,而SiGe層維持實質上未受蝕刻。如以上所述,氧化溫度範圍可從約25℃至90℃。在一替代實施方式中,氧化可在使用表面波微波能量來產生電漿的電漿腔室中執行。在如此之電漿處理腔室中,氧化可在從室溫至約450℃的情況下執行。用以執行本文之處理的特定系統可包括一工具或共同平台(其具有配置成用於化學氧化物移除的模組)及用於氧化的第二模組(其使用表面波微波能量來產生電漿)。由於任何幾何結構皆可根據於此所述之方法來進行處理,故圖式中之三角形輪廓的結構僅作為範例。此外,所標示的膜係示範性。可使用許多其他的膜來代替SiGe,例如:SiGeAs、GeAs、TiN、TiO、HfO、HfN、ZrO、及ZrN。同樣地,可使用其他的膜來代替Si,例如:SiO、SiN、及SiON。
圖1A示意地顯示包含一基底層100及一結構的基板,該結構具有垂直相間的Si層102、104、106及SiGe層101、103、105,而Si及Ge的側壁表面係未受覆蓋。圖中亦顯示遮罩材料107(如SiN)。基板的處理步驟包括藉由將Si及SiGe的未受覆蓋表面曝露至自由基物種而使Si及SiGe的未受覆蓋表面改質。根據一些實施方式,改質步驟可使用氧(O)、氫(H)、或O及H之組合的自由基物種。用於改質的其他自由基物種可基於鈍氣、鹵化物、或其組合。圖1B示意地顯示Si的改質表面102A、104A、及106A與SiGe的改質表面101A、103A、及105A。
使矽及矽鍺化物的未受覆蓋表面曝露至自由基物種的方法可包括藉由改變基於氣相之蝕刻腔室內的參數值來控制使Si及SiGe的未受覆蓋表面改質的速率及深度,該參數值係選擇自由基板溫度、腔室壓力、氣體流速、及曝露時間所組成之群組。
然後,基板的進一步處理包括執行氣體化學氧化物移除處理,其包括:在第一基板溫度下,流入含氮氣體及含氟氣體的混合物以形成氟副產物,其後接著在高於第一基板溫度的第二基板溫度下,執行昇華處理以移除氟副產物。該方法包括控制第二基板溫度,以使矽氧化物材料以高於矽鍺氧化物材料的昇華速率至少四倍之速率進行昇華。圖1C示意地顯示所產生之基板,其中Si層102、104、及106藉由矽氧化物材料的昇華而受到等向性橫向蝕刻,但SiGe層101、103、105並未受到明顯的蝕刻。
該方法可更包括:在使Si及SiGe的未受覆蓋表面改質的步驟與執行氣體化學氧化物移除處理的步驟之間進行循環。圖1D示意地顯示經過循環步驟而部分釋放SiGe層101、103、105後的基板,及圖1E示意地顯示經過循環步驟而完全釋放SiGe層101、103、105後的基板。基板的進一步處理可形成包含SiGe層101、103、105的奈米線。
在一處理範例中,將包含一結構的基板進行處理,該結構具有垂直相間的Si層及SiGe層,而Si及SiGe的側壁表面係未受覆蓋。該處理包括:藉由將Si及SiGe的未受覆蓋表面曝露至O及H自由基物種(其係藉由H2 氣體及O2 氣體的電漿激發而形成),而使Si及SiGe的未受覆蓋表面改質。然後,使用F2 及NH3 的混合物來執行化學氧化物移除處理。執行使Si及SiGe的未受覆蓋表面改質的步驟與執行氣體化學氧化物移除處理的步驟之間的循環,總共四次。四次循環的Si:SiGe蝕刻選擇性約為18:1,其係利用橫剖面掃描式電子顯微鏡(SEM,scanning electron microscopy)加以測量。此相當於每一循環的Si:SiGe蝕刻選擇性約為4.5:1。相較之下,僅藉由化學氧化物移除處理來進行處理的基板呈現Si:SiGe蝕刻選擇性約為1.2:1。
根據一實施方式,可藉由以下方式來降低膜粗糙度:利用來自O2 電漿源(如微波電漿)或熱O2 源(如加熱爐)的O自由基物種來處理基板,然後執行化學氧化物移除處理。
根據一實施方式,可用相同速率橫向蝕刻Si層及SiGe層,以均勻微縮一特定結構或均勻修整一特定結構而得到平順的輪廓。為達成如此之高度均勻的等向性蝕刻,可使用化學氧化物移除的改質。此氣相蝕刻係藉由以下方式達成:使含N氣體(如NH3 、NF3 )吸附在Si及SiGe的表面上,以使該些表面活化而與含氟及/或含氫氣體(如HF、F2 )反應以形成氟化副產物。改質膜的組成物可用以決定氟化副產物的組成物。藉由調整昇華溫度,氧化矽的蝕刻副產物可以與氧化矽鍺的蝕刻副產物相同的昇華速率進行昇華。改質膜之間的額外程度之蝕刻均勻性可藉由調整不同材料的蝕刻/反應速率(藉由改變材料表面上之蝕刻氣體的吸附、及改變蝕刻氣體的可用率或濃度)來達成。
圖2A及2B經由示意橫剖面圖顯示根據本發明之實施方式之用以處理基板的方法。將圖1B重製為圖2A,且其示意地顯示Si的改質表面102A、104A、及106A與SiGe的改質表面101A、103A、及105A。然後,進一步處理圖2A中的基板,包括執行氣體化學氧化物移除處理,其包括:在第一基板溫度下,流入含氮氣體及含氟氣體的混合物以形成氟副產物,其後接著在高於第一基板溫度的第二基板溫度下,執行昇華處理以移除氟副產物。該方法包括控制第二基板溫度,使得矽氧化物材料以約等於矽鍺氧化物材料的昇華速率之速率進行昇華。所產生之基板係示意地顯示在圖2B中,其中Si層102、104、及106與SiGe層101、103、及105已藉由矽氧化物材料及矽鍺氧化物材料的昇華而受到等向性及橫向蝕刻。
圖3A及3B經由示意橫剖面圖顯示根據本發明之實施方式之用以處理基板的方法。圖3A類似圖2A,但其顯示Si及SiGe的未受覆蓋表面之更深度的改質及Si的改質表面102B、104B、及106B與SiGe的改質表面101B、103B、及105B之形成。然後,進一步處理圖3A中的基板,包括執行氣體化學氧化物移除處理,其包括:在第一基板溫度下,流入含氮氣體及含氟氣體的混合物以形成氟副產物,其後接著在高於第一基板溫度的第二基板溫度下,執行昇華處理以移除氟副產物。該方法包括控制第二基板溫度,使得矽氧化物材料以約等於矽鍺氧化物材料的昇華速率之速率進行昇華。所產生之基板係示意地顯示在圖3B中,其中Si層102、104、及106與SiGe層101、103、105已藉由矽氧化物材料及矽鍺氧化物材料的昇華而受到等向性及橫向蝕刻。
在一處理範例中,將包含一結構的基板進行處理,該結構包含垂直相間的Si層及SiGe層,而Si及SiGe的側壁表面未受覆蓋。該處理包括藉由將Si及SiGe的未受覆蓋表面曝露至O自由基物種,而使Si及SiGe的未受覆蓋表面改質,且O自由基物種係藉由電漿激發O2 氣體而形成。然後,執行化學氧化物移除處理,其使用F2 及NH3 的混合物。執行使Si及SiGe的未受覆蓋表面改質的步驟與氣體化學氧化物移除處理的步驟之間的循環四次。四此循環的Si:SiGe蝕刻選擇性約為1:1,其係使用橫剖面SEM加以測量。
在先前敘述中,已提出一些具體細節,例如:一處理系統的特定幾何結構、以及其中所使用的各種元件和製程之敘述。然而,應瞭解本文之技術可在偏離這些具體細節的其他實施方式中加以實施,並且這些細節係作為說明之目的而非限制性。於此所揭露之實施方式已參照附圖而加以敘述。同樣地,為了說明之目的而提出具體數量、材料、及配置,以提供徹底之瞭解。儘管如此,仍可在不具有如此具體細節的情況下實現這些實施方式。實質上具有相同功能結構之元件係以同樣的參考符號表示,且因此可能省略任何冗贅的敘述。
各個技術內容已描述為多個分離操作,以幫助瞭解各種實施方式。描述的順序不應被理解為暗示著這些操作必須依照這些順序進行。事實上,這些操作並不需依照描述之順序執行。所描述之操作可按不同於所敘述之實施方式的順序來執行。在額外的實施方式中,可執行各種額外操作且/或可省略所敘述之操作。
如於此所使用之「基板」或「目標基板」一般是指依據本發明所處理之物品。基板可包括裝置(尤其是半導體或其他電子裝置)的任何材料部分或結構,並且可例如為一基底基板結構(如半導體晶圓)、倍縮光罩、或是在基底基板結構上或覆蓋基底基板結構之一層(如一薄膜)。因此,基板並不限於任何特定基底結構、下方層、或上方層(圖案化或不圖案化),而是預期包括任何這類的層或基底結構、以及這些層及/或基底結構的任何組合。本說明書可能涉及特定類型的基板,但這只是為了說明之目的。
本領域中具有通常技術者亦將瞭解可對以上說明之技術操作做出許多變化,而同時仍可達到本發明之相同目標。欲使如此之變化涵蓋在本揭露內容的範圍內。因此,上述之本發明實施方式敘述並非意欲為限制性。反而任何對本發明之實施方式的限制係敘述在下列申請專利範圍中。
100‧‧‧基底層
101、103、105‧‧‧SiGe層
102、104、106‧‧‧Si層
107‧‧‧遮罩材料
101A、103A、105A‧‧‧SiGe改質表面
102A、104A、106A‧‧‧Si改質表面
101B、103B、105B‧‧‧SiGe改質表面
102B、104B、106B‧‧‧Si改質表面
在附圖之中:
圖1A–1E經由示意橫剖面圖顯示根據本發明之實施方式之用以處理基板的方法 ;
圖2A及2B經由示意橫剖面圖顯示根據本發明之實施方式之用以處理基板的方法 ;及
圖3A及3B經由示意橫剖面圖顯示根據本發明之實施方式之用以處理基板的方法 。
100‧‧‧基底層
101、103、105‧‧‧SiGe層
102、104、106‧‧‧Si層
107‧‧‧遮罩材料
101A、103A、105A‧‧‧SiGe改質表面

Claims (21)

  1. 一種用以處理基板的方法,該方法包含: 接收具有矽層及矽鍺層的基板,而矽及矽鍺的側壁表面未受覆蓋; 將該基板置於處理腔室之中,該處理腔室係配置以蝕刻基板; 藉由將矽及矽鍺的未受覆蓋表面曝露至自由基物種,以使該矽及矽鍺的未受覆蓋表面改質; 執行氣體化學氧化物移除處理,其包括在第一基板溫度下,流入含氮氣體及含氟氣體的混合物以形成氟副產物,其後接著在高於該第一基板溫度的第二基板溫度下,執行昇華處理以移除該氟副產物;及 控制該第二基板溫度,使得矽氧化物材料在以下列速率下昇華:(a)比矽鍺氧化物材料的昇華速率至少高四倍,或(b)約等於矽鍺氧化物材料的昇華速率。
  2. 如申請專利範圍第1項之用以處理基板的方法,其中該自由基物種包括氧(O)、氫(H)、鈍氣、鹵化物、或其組合。
  3. 如申請專利範圍第1項之用以處理基板的方法,其中該矽氧化物材料係選擇自由SiOx 及SiOx Ny 所組成之群組。
  4. 如申請專利範圍第1項之用以處理基板的方法,其中該基板更包括遮罩材料層。
  5. 如申請專利範圍第1項之用以處理基板的方法,更包含: 在使矽及矽鍺的未受覆蓋表面改質的步驟與執行氣體化學氧化物移除處理的步驟之間進行循環。
  6. 如申請專利範圍第5項之用以處理基板的方法,其中在使矽及矽鍺的未受覆蓋表面改質的步驟之間進行循環提供大於10:1的Si:SiGe蝕刻選擇性。
  7. 如申請專利範圍第1項之用以處理基板的方法,其中將矽及矽鍺的未受覆蓋表面曝露至自由基物種的步驟包括藉由改變基於氣相之蝕刻腔室內的參數值,來控制該矽及矽鍺的未受覆蓋表面的改質速率,該參數值係選擇自由基板溫度、腔室壓力、氣體流速、及曝露時間所組成之群組。
  8. 如申請專利範圍第1項之用以處理基板的方法,其中將該矽及矽鍺的未受覆蓋表面曝露至自由基物種的步驟包括藉由改變基於氣相之蝕刻腔室內的參數值,來控制該矽及矽鍺的未受覆蓋表面的改質深度,該參數值係選擇自由基板溫度、腔室壓力、氣體流速、及曝露時間所組成之群組。
  9. 如申請專利範圍第1項之用以處理基板的方法,其中該第一基板溫度的範圍在25℃與90℃之間,且其中該第二基板溫度的範圍在100℃至225℃之間。
  10. 如申請專利範圍第1項之用以處理基板的方法,其中接收該基板具有垂直相間的矽層及矽鍺層之多數結構,而矽及矽鍺的側壁表面未受覆蓋。
  11. 如申請專利範圍第1項之用以處理基板的方法,其中該含氮氣體及該含氟氣體係藉由從該處理腔室遠端執行的電漿處理而產生。
  12. 一種用以處理基板的方法,該方法包含: 接收具有第一材料層及第二材料層的基板,該第一材料層係選擇自由矽、矽氮化物、矽氧化物、及矽氧氮化物所組成之群組,該第二材料層係選擇自由矽鍺、SiGeAs、GeAs、InGaAs、TiOx、HfO、ZrO、及ZrN所組成之群組,而該第一材料層及該第二材料層的側壁表面未受覆蓋; 將該基板置於處理腔室之中,該處理腔室係配置以蝕刻基板; 藉由將該第一材料層及該第二材料層的未受覆蓋表面曝露至自由基物種,以使該第一材料層及該第二材料層的未受覆蓋表面改質; 執行氣體化學氧化物移除處理,其包括在第一基板溫度下,流入含氮氣體及含氟氣體的混合物以形成氟副產物,其後接著在高於該第一基板溫度的第二基板溫度下,執行昇華處理以移除該氟副產物;及 控制該第二基板溫度,使得該第一材料層在以下列速率下昇華(a)比該第二材料層的昇華速率至少高四倍,或(b)約等於該第二材料層的昇華速率。
  13. 如申請專利範圍第12項之用以處理基板的方法,其中該自由基物種包括氧(O)、氫(H)、鈍氣、鹵化物、或其組合。
  14. 如申請專利範圍第12項之用以處理基板的方法,其中該基板更包括遮罩材料層。
  15. 如申請專利範圍第12項之用以處理基板的方法,更包含: 在使第一材料層及第二材料層的未受覆蓋表面改質的步驟與執行氣體化學氧化物移除處理的步驟之間進行循環。
  16. 如申請專利範圍第14項之用以處理基板的方法,其中在使第一材料及第二材料的未受覆蓋表面改質的步驟之間進行循環提供大於10:1的第一材料:第二材料蝕刻選擇性。
  17. 如申請專利範圍第12項之用以處理基板的方法,其中將第一材料及第二材料的未受覆蓋表面曝露至自由基物種的步驟包括藉由改變基於氣相之蝕刻腔室內的參數值,來控制該第一材料及該第二材料的未受覆蓋表面的改質速率,該參數值係選擇自由基板溫度、腔室壓力、氣體流速、及曝露時間所組成之群組。
  18. 如申請專利範圍第12項之用以處理基板的方法,其中將第一材料及第二材料的未受覆蓋表面曝露至自由基物種的步驟包括藉由改變基於氣相之蝕刻腔室內的參數值來控制該第一材料及該第二材料的未受覆蓋表面的改質深度,該參數值係選擇自由基板溫度、腔室壓力、氣體流速、及曝露時間所組成之群組。
  19. 如申請專利範圍第12項之用以處理基板的方法,其中該第一基板溫度係介於25℃與90℃之間,且其中該第二基板溫度係介於100℃與225℃之間。
  20. 如申請專利範圍第12項之用以處理基板的方法,其中接收該基板具有垂直相間的該第一材料層及該第二材料層之多數結構,而該第一材料及該第二材料的側壁表面未受覆蓋。
  21. 如申請專利範圍第12項之用以處理基板的方法,其中該含氮氣體及該含氟氣體係藉由從該處理腔室遠端執行的電漿處理而產生。
TW106106786A 2016-03-02 2017-03-02 具有可調節選擇性之等向性矽與矽化鍺蝕刻 TWI625785B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662302587P 2016-03-02 2016-03-02
US201662302584P 2016-03-02 2016-03-02
US62/302,584 2016-03-02
US62/302,587 2016-03-02

Publications (2)

Publication Number Publication Date
TW201738955A true TW201738955A (zh) 2017-11-01
TWI625785B TWI625785B (zh) 2018-06-01

Family

ID=59744483

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106106786A TWI625785B (zh) 2016-03-02 2017-03-02 具有可調節選擇性之等向性矽與矽化鍺蝕刻

Country Status (5)

Country Link
US (1) US9984890B2 (zh)
JP (1) JP6827633B2 (zh)
KR (1) KR102323389B1 (zh)
TW (1) TWI625785B (zh)
WO (1) WO2017151958A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112530800A (zh) * 2019-09-18 2021-03-19 东京毅力科创株式会社 蚀刻方法和基板处理系统
TWI784174B (zh) * 2018-07-20 2022-11-21 日商東京威力科創股份有限公司 具有矽鍺合金之可控制蝕刻選擇性的氣相蝕刻
TWI800520B (zh) * 2017-08-04 2023-05-01 美商應用材料股份有限公司 改良的鍺蝕刻系統及方法

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6692202B2 (ja) * 2016-04-08 2020-05-13 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10141189B2 (en) * 2016-12-29 2018-11-27 Asm Ip Holding B.V. Methods for forming semiconductors by diffusion
US10714391B2 (en) * 2017-12-04 2020-07-14 Tokyo Electron Limited Method for controlling transistor delay of nanowire or nanosheet transistor devices
US10685887B2 (en) * 2017-12-04 2020-06-16 Tokyo Electron Limited Method for incorporating multiple channel materials in a complimentary field effective transistor (CFET) device
CN112385029A (zh) 2018-05-08 2021-02-19 朗姆研究公司 包括带有远心透镜的透镜电路、光束折叠组件或多边形扫描仪的原子层蚀刻和沉积处理系统
JP7072440B2 (ja) * 2018-05-16 2022-05-20 東京エレクトロン株式会社 シリコン含有膜のエッチング方法、コンピュータ記憶媒体、及びシリコン含有膜のエッチング装置
WO2020042254A1 (zh) * 2018-08-28 2020-03-05 中国科学院微电子研究所 一种高精度的刻蚀方法
KR20210055785A (ko) * 2018-10-03 2021-05-17 램 리써치 코포레이션 나노와이어들을 위한 선택적인 에칭
WO2020172208A1 (en) * 2019-02-20 2020-08-27 Tokyo Electron Limited Method for selective etching at an interface between materials
US10892158B2 (en) * 2019-04-01 2021-01-12 Hitachi High-Tech Corporation Manufacturing method of a semiconductor device and a plasma processing apparatus
CN112771649B (zh) 2019-08-28 2022-04-19 玛特森技术公司 用于使用氟自由基处理工件的方法
WO2021085158A1 (ja) * 2019-10-29 2021-05-06 東京エレクトロン株式会社 基板処理方法、基板処理装置及びナノワイヤ又はナノシートのトランジスタの製造方法
JP7360979B2 (ja) 2020-03-19 2023-10-13 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11424120B2 (en) * 2021-01-22 2022-08-23 Tokyo Electron Limited Plasma etching techniques
US11482423B2 (en) 2021-01-28 2022-10-25 Tokyo Electron Limited Plasma etching techniques
JP7320135B2 (ja) * 2021-06-17 2023-08-02 株式会社日立ハイテク プラズマ処理方法および半導体装置の製造方法
FR3125915A1 (fr) * 2021-10-07 2023-02-03 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de gravure selective isotrope de silicium
US20230360921A1 (en) * 2022-05-09 2023-11-09 Tokyo Electron Limited Selective and isotropic etch of silicon over silicon-germanium alloys and dielectrics; via new chemistry and surface modification
US20240096639A1 (en) * 2022-09-15 2024-03-21 Tokyo Electron Limited Surface modification to achieve selective isotropic etch
CN116741630B (zh) * 2023-08-14 2023-12-22 北京北方华创微电子装备有限公司 干法刻蚀方法和半导体工艺设备

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100670782B1 (ko) * 2004-11-09 2007-01-17 한국전자통신연구원 상변화 메모리 소자의 제조방법
CN101517700B (zh) * 2006-09-20 2014-04-16 伊利诺伊大学评议会 用于制造可转移半导体结构、器件和器件构件的松脱策略
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
KR101244953B1 (ko) * 2011-07-18 2013-03-18 (재)한국나노기술원 전류 저지층 구조의 수직형 발광다이오드 소자 및 그 제조방법
US8808563B2 (en) * 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8557632B1 (en) * 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US20140273525A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films
US9236265B2 (en) * 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) * 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9577100B2 (en) * 2014-06-16 2017-02-21 Globalfoundries Inc. FinFET and nanowire semiconductor devices with suspended channel regions and gate structures surrounding the suspended channel regions
US9613822B2 (en) * 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI800520B (zh) * 2017-08-04 2023-05-01 美商應用材料股份有限公司 改良的鍺蝕刻系統及方法
TWI784174B (zh) * 2018-07-20 2022-11-21 日商東京威力科創股份有限公司 具有矽鍺合金之可控制蝕刻選擇性的氣相蝕刻
CN112530800A (zh) * 2019-09-18 2021-03-19 东京毅力科创株式会社 蚀刻方法和基板处理系统

Also Published As

Publication number Publication date
TWI625785B (zh) 2018-06-01
US9984890B2 (en) 2018-05-29
WO2017151958A1 (en) 2017-09-08
KR20180112869A (ko) 2018-10-12
US20170271165A1 (en) 2017-09-21
JP6827633B2 (ja) 2021-02-10
JP2019507505A (ja) 2019-03-14
KR102323389B1 (ko) 2021-11-05

Similar Documents

Publication Publication Date Title
TWI625785B (zh) 具有可調節選擇性之等向性矽與矽化鍺蝕刻
US10777421B2 (en) Technologies for selectively etching oxide and nitride materials and products formed using the same
US9070635B2 (en) Removing method
TWI579892B (zh) 用以形成具有多膜層的間隔壁之蝕刻方法
US9530637B2 (en) Fin structure formation by selective etching
US11658037B2 (en) Method of atomic layer etching of oxide
US20150372118A1 (en) Method for fabricating vertically stacked nanowires for semiconductor applications
TWI590314B (zh) 半導體元件之鰭片結構及製造方法與其主動區域之製造方法
EP1780780A2 (en) A plasma composition for the selective etching of high-k materials
JP5595481B2 (ja) 選択的窒素化の方法
EP1933375A2 (en) Methods for Recess Etching
WO2018052475A1 (en) Integrated system and method for source/drain engineering
JP2022533388A (ja) in-situ原子層堆積プロセス
US9472416B2 (en) Methods of surface interface engineering
US6066567A (en) Methods for in-situ removal of an anti-reflective coating during an oxide resistor protect etching process
US10699911B2 (en) Method of conformal etching selective to other materials
CN104851802B (zh) 一种半导体器件及其制作方法
KR20190097560A (ko) 실리콘의 원자층 에칭 방법
TWI697958B (zh) 用於蝕刻遮罩與鰭片結構形成之方法
TW202335084A (zh) 高度選擇性矽蝕刻
KR20220138237A (ko) 건식 식각된 반도체의 손상 회복 방법
TW202324579A (zh) 用於閘極堆疊開發的整合濕式清潔
WO2024063871A1 (en) High aspect ratio contact (harc) etch
JP2024505507A (ja) プラズマエッチング技法