TW201713471A - 具有ccd攝影機與機器人之晶圓對準及定心系統與方法 - Google Patents

具有ccd攝影機與機器人之晶圓對準及定心系統與方法 Download PDF

Info

Publication number
TW201713471A
TW201713471A TW105123521A TW105123521A TW201713471A TW 201713471 A TW201713471 A TW 201713471A TW 105123521 A TW105123521 A TW 105123521A TW 105123521 A TW105123521 A TW 105123521A TW 201713471 A TW201713471 A TW 201713471A
Authority
TW
Taiwan
Prior art keywords
wafer
robot
image
reference mark
adjustment data
Prior art date
Application number
TW105123521A
Other languages
English (en)
Other versions
TWI681852B (zh
Inventor
布蘭登 西恩
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201713471A publication Critical patent/TW201713471A/zh
Application granted granted Critical
Publication of TWI681852B publication Critical patent/TWI681852B/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8803Visual inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/70Determining position or orientation of objects or cameras
    • G06T7/73Determining position or orientation of objects or cameras using feature-based methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N25/00Circuitry of solid-state image sensors [SSIS]; Control thereof
    • H04N25/70SSIS architectures; Circuits associated therewith
    • H04N25/71Charge-coupled device [CCD] sensors; Charge-transfer registers specially adapted for CCD sensors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S901/00Robots
    • Y10S901/02Arm motion controller
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S901/00Robots
    • Y10S901/46Sensing device
    • Y10S901/47Optical

Abstract

晶圓對準系統包含影像擷取模組,其擷取定位於機器人上之晶圓的影像。影像分析模組分析該影像以判定機器人上之晶圓的位置。位置校正模組基於所判定的機器人上之晶圓位置來計算調整資料。系統控制模組控制機器人,以基於所計算的調整資料以及機器人上之晶圓的標稱位置而進行放置晶圓與收回晶圓兩者其中至少一者。

Description

具有CCD攝影機與機器人之晶圓對準及定心系統與方法
本揭露內容係關於基板處理系統,更具體而言,係關於用於在基板處理系統中將半導體晶圓定位的系統及方法。
說明書所提供的背景敘述係為了概略地呈現本揭露內容的背景。在本「先前技術」段落中所描述的範圍內之目前所列名的發明人之成果、以及在申請時可能未以其他方式認定為先前技術的描述之態樣,並未明示或默示地被承認為是相對於本揭露內容的先前技術。
基板處理系統可用於執行基板(例如半導體晶圓)的蝕刻及/或其他處理。可於基板上執行的範例製程包含(但不限於)電漿輔助化學氣相沉積(PECVD, plasma enhanced chemical vapor deposition)製程、化學輔助電漿氣相沉積(CEPVD, chemically enhanced plasma vapor deposition)製程、濺鍍的物理氣相沉積(PVD, physical vapor deposition)製程、離子植入製程、及/或其他蝕刻(例如:化學蝕刻、電漿蝕刻、反應性離子蝕刻等)、沉積、及清潔製程。可於基板處理系統之處理腔室中將基板設置於基板支撐體(例如基座)上。僅以舉例而言,在蝕刻期間,將包含一或更多前驅物的氣體混合物引入處理腔室中,然後引燃電漿以蝕刻基板。
負載鎖室(例如:入站或出站之負載鎖室)或其他傳送工具可用於將半導體晶圓自大氣環境傳送至真空環境(例如,自處理腔室之外部至處理腔室中),或反之亦然。負載鎖室本身可包含含有基座的真空腔室。晶圓係設置於該基座上(且傳送往返該基座)。例如,可將晶圓自該基座傳送至基板處理系統中之處理腔室的電鍍或其他製程之槽室以進行沉積、蝕刻等。該基座將晶圓舉升至用於在該負載鎖室與該製程槽室之間傳送晶圓的機器人(例如機器人之末端效應器)上或舉升離開機器人。
晶圓對準系統包含影像擷取模組,其擷取定位於機器人上之晶圓的影像。影像分析模組分析該影像以判定該機器人上之該晶圓的位置。位置校正模組基於所判定之該機器人上之該晶圓的位置而計算調整資料。系統控制模組基於所計算之調整資料及該機器人上之該晶圓的標稱位置而控制該機器人以進行放置該晶圓與收回該晶圓兩者其中至少一者。
晶圓對準方法包含擷取定位於機器人上之晶圓的影像、分析該影像以判定該機器人上之該晶圓的位置、基於所判定之該機器人上之該晶圓的位置而計算調整資料、及基於所計算之調整資料及該機器人上之該晶圓的標稱位置而控制該機器人以進行放置該晶圓與收回該晶圓兩者其中至少一者。
本揭露內容的可應用性之進一步範圍將從實施方式、請求項、及圖式而變得清楚明瞭。實施方式及具體範例僅意為說明之目的且並非意為限制本揭露內容之範疇。
將半導體晶圓(例如,300mm晶圓)定位於負載鎖室之基座上,以傳送至處理腔室中或自處理腔室傳送出。晶圓在基座上對準以容許使用機器人或其他工具精確地抓取及/或傳送晶圓(例如,至製程槽室)。可使用晶圓外緣中所形成之凹槽來達成晶圓對準。可使用各種類型的晶圓對準器以在晶圓轉動時偵測凹槽的位置。例如,在使用卡盤緩慢地轉動晶圓時,感應器可偵測凹槽。凹槽位置及晶圓偏移係基於所偵測之凹槽而計算,並提供給機器人。
依據本揭露內容之原則的晶圓對準系統及方法實施設置於晶圓之路徑上方的影像擷取裝置(例如:如高解析度電荷耦合元件(或CCD)攝影機陣列的攝影機)。該攝影機擷取藉由機器人傳送往返基座之晶圓的影像。儘管本說明書中的敘述指涉負載鎖室之基座,但可使用基板處理系統內之任何晶圓降落位置來實施本揭露內容之原則。例如,攝影機可響應機器人到達相對於基座之預定位置(亦即,攝影機可因機器人到達相對於基座之預定位置而啟動)而擷取影像。例如,所擷取的影像可對應點陣圖。影像分析模組分析所擷取的影像以判定相對於機器人(例如:相對於機器人之末端效應器)的晶圓位置(例如:位置及方位,如轉動位置)。如本說明書中所使用,晶圓之「位置」包含晶圓之橫向位移(例如,晶圓中心的位移)以及晶圓之轉動位移(例如,晶圓在由晶圓中心所界定之軸上的轉動)兩者。
接著可將所判定的位置與所期望或標稱的晶圓位置進行比較。例如,機器人可包含一或更多參考標記以判定相對於機器人之晶圓位置。系統亦可儲存代表標稱位置(例如,先前所擷取的、位於標稱位置中之晶圓的影像之位置)的標稱位置資料。例如,支撐晶圓的機器人之表面可包含部分輪廓(例如,晶圓之圓周的部分輪廓)或指示標稱位置的其他參考標記。影像分析模組可將所擷取的影像之所判定的位置與參考標記及/或標稱位置資料進行比較,以計算位置調整(亦即,對應於位置誤差,或所判定之位置與標稱位置間的差異)。
機器人將晶圓傳送至基座。若位置調整係小於閾值(例如,誤差閾值),則系統可立即繼續至下個晶圓處理步驟 (例如,將晶圓自基座傳送至製程槽室)。若誤差大於閾值,則控制機器人以基於該位置調整來調整晶圓之位置。可依據所計算之調整而調整機器人之位置(例如,相對於基座之機器人方位)。例如,可在機器人將晶圓自基座收回且接著隨後將晶圓傳送回基座之前調整機器人之位置,及/或在機器人將晶圓自基座收回之後,但在將晶圓傳送回基座之前調整機器人之位置。換言之,依據所計算之調整,可在將晶圓自基座收回之前或之後其中一或兩者調整機器人之位置本身,以使將晶圓放回基座上之操作達到所期望之位置。在範例中,若所計算之調整超過閾值(例如,偏差及/或轉動距離),可控制機器人以將晶圓收回及放回數次以達到所期望之位置。
因此,在影像擷取、位置判定/測定、及調整期間,基座本身可保持固定,而不需要配置以轉動的基座。
現參照圖1,顯示用於使用射頻(RF)電漿來執行蝕刻之基板處理系統100的範例。基板處理系統100包含處理腔室102,其圍住基板處理系統100的其他元件,且容納RF電漿。基板處理系統100包含上電極104及基座106,基座106包含下電極107。邊緣耦合環103係由基座106支撐,且係設置於基板108的周圍。可使用一或更多致動器105以移動邊緣耦合環103。在操作期間,基板108係設置於上電極104與下電極107之間的基座106上。
僅以舉例而言,上電極104可包含引入及分配製程氣體的噴淋頭。噴淋頭109可包含桿部,該桿部包含連接至處理腔室之頂表面的一端。底部一般為圓柱形的,且自該桿部之相反端向外徑向延伸,且位於與處理腔室之頂表面間隔開的位置。噴淋頭底部的面向基板之表面或面板包含許多孔洞,製程氣體或吹淨氣體會流過該等孔洞。或者,上電極104可包含導板,且製程氣體可以另一方式導入。下電極107係可配置於非傳導性的基座中。或者,基座106可包含靜電卡盤,其包含作為下電極107之導板。
RF產生系統110產生並輸出RF電壓至上電極104與下電極107其中一者。上電極104與下電極107其中另一者可為直流(DC)接地、交流(AC)接地、或浮動。僅以舉例而言,RF產生系統110可包含產生RF電壓之RF電壓產生器111,該RF電壓係藉由匹配及分配網路112而供至上電極104或下電極107。在其他範例中,可感應產生電漿或在遠端產生電漿。
氣體輸送系統130包含一或更多氣體源132-1、132-2、…、及132-N(統稱為氣體源132),其中N為大於零的整數。該氣體源供應一或更多前驅物及其混合物。該氣體源亦可供應吹淨氣體。亦可使用汽化之前驅物。氣體源132係藉由閥134-1、134-2、…,及134-N(統稱為閥134),與質量流量控制器136-1、136-2、…,及136-N(統稱為質量流量控制器136),而連接至岐管140。岐管140之輸出係供至處理腔室102。僅以舉例而言,岐管140之輸出係供至噴淋頭109。
可將加熱器142連接至配置於基座106中的加熱器線圈(未顯示)。可使用加熱器142以控制基座106及基板108的溫度。可使用閥150及泵浦152以自處理腔室102將反應物抽空。可使用控制器160以控制基板處理系統100的元件。可使用控制器160來控制致動器105以調整邊緣耦合環103之一或更多部分的位置。
可使用機器人170來將基板傳送至基座106上,及將基板自基座106移開。例如,機器人170可在基座106與負載鎖室172之間傳送基板。攝影機174係配置以依據本揭露內容之原則擷取機器人170所傳送之基板的影像。
現參照圖2,基板處理工具200之非限定範例(例如,如在基板處理系統100內所實施的)包含傳送搬運腔室220及複數反應器,該等反應器各具有一或更多基板處理腔室。基板225自晶圓匣及/或晶圓盒223(例如,前開式晶圓傳送盒(FOUP, front opening unified pod))進入基板處理工具200。機器人224包含一或更多末端效應器以搬運基板225。傳送搬運腔室220的壓力可為大氣壓力。或者,傳送搬運腔室220可處於真空壓力(其具有作為開槽閥的埠口)。
機器人224將基板225自晶圓匣及/或晶圓盒移動至負載鎖室230。例如,基板225透過埠口232(或隔離閥)而進入負載鎖室230,且放置於負載鎖室基座233上。通往傳送搬運腔室220的埠口232關閉,且負載鎖室230被泵抽至適當的壓力以進行傳送。其後,埠口234開啟,且處理搬運腔室235中的另一機器人236(亦具有一或更多末端效應器)透過對應於所選擇之反應器240-1、240-2、及240-3(統稱為反應器240)的埠口237-1、237-2、237-3(統稱為埠口237)其中一者而放置基板。儘管負載鎖室230顯示為具有複數基座與相聯繫的埠口,但在範例中,負載鎖室230可包含僅單一基座及各自的埠口。
可使用基板指向機構242以相對於基板處理腔室而進一步定位基板。在若干範例中,指向機構242包含心軸244及傳送板246。
在若干範例中,反應器240的其中至少一處理腔室或站能夠與其他站相繼地或同時地執行半導體處理操作(例如材料沉積或蝕刻)。在若干範例中,該等站其中至少一或更多者可執行以RF為基礎的半導體處理操作。
基板係使用基板指向機構242在反應器240中自一站移至下一站。反應器240其中一或更多站能夠執行,僅以舉例而言,RF電漿沉積、蝕刻、或依據基板處理工具200之特定實施例的其他製程步驟。在使用期間,基板被移至反應器240其中一或更多者,受到處理,然後返回。如可察知,減少各基板的搬運時間會改善產率及產能。
依據本揭露內容之原則,在控制器(例如,如圖1中所示之控制器160)的引導下,機器人224及236將基板傳送往返負載鎖室230。尤其,使用影像擷取裝置以擷取各基板(即,晶圓)的影像以促進判定相對於機器人(例如,224或236),以及最終相對於負載鎖室230之基座233的晶圓定位(例如,對準)。晶圓定位之精確判定接著可促進晶圓之精確抓取、收回、及傳送(例如,藉由機器人236抓取晶圓以自負載鎖室230傳送至反應器240、藉由機器人224抓取晶圓以自反應器240傳送至負載鎖室230等)。
現參照圖3A、3B、3C、及3D,依據本揭露內容之原則,負載鎖室300及攝影機之304範例部分係配置以擷取藉由機器人312傳送至負載鎖室300之晶圓308的影像。儘管負載鎖室300之配置對應於圖2中所示的範例負載鎖室,但負載鎖室300可具有其他配置。圖3A繪示負載鎖室300,其具有位於各種範例位置316-1、316-2、…,及316-n(統稱為位置316)中的攝影機304。位置316-2對應於負載鎖室300上方的位置(例如,在負載鎖室300之基座328的正上方)。相反地,位置316-1及316-n對應於與負載鎖室300相鄰的位置,或是工具200中的其他處及/或工具200的附近區域。例如,位置316-1及316-n對應於機器人312在傳送至負載鎖室300及/或自負載鎖室300傳送出的過程之路徑中的位置。
圖3B繪示負載鎖室300、攝影機304、及晶圓308配置於攝影機304之視野320中的側視圖。 圖3C繪示在傳送至負載鎖室300或自負載鎖室300傳送出的過程中、晶圓308配置於機器人312之末端效應器324上的上至下之視圖。圖3D繪示晶圓308配置於機器人312之末端效應器324上且定位於負載鎖室300上方的上至下之視圖。
晶圓308係配置於機器人312之末端效應器324上以傳送至負載鎖室300內之基座328(例如,冷卻基座)。攝影機304係定位於機器人312之路徑的上方(例如,其中攝影機304的鏡頭面向下)以擷取晶圓308之影像。  例如,攝影機304擷取晶圓308的影像,其指示相對於機器人312(例如,相對於末端效應器324)的晶圓308之位置。在攝影機304係配置於負載鎖室300上方的範例中,所擷取的影像亦可(或替代地) 指示相對於負載鎖室300或基座328的晶圓308之位置。
例如,末端效應器324及/或負載鎖室300可包含一或更多參考標記。因此,所擷取之晶圓308影像指示相對於該等參考標記的晶圓308之位置(例如,平移或橫向位置,及轉動方位兩者),而因此指示相對於機器人312、負載鎖室300等的晶圓308之位置。
現參照圖3C,末端效應器324包含範例參考標記332-1、332-2、及332-3,統稱為參考標記332。僅以舉例而言,參考標記332係顯示為圓圈或點,但可使用其他參考標記(例如,「x」、「+」等)。如所顯示,參考標記332係配置成直角三角形,如虛線336所指示。再者,參考標記332係至少為二維的(亦即,不會配置成單一線條),以界定一平面。由參考標記332所界定的平面可幾乎與由晶圓308所界定之平面共平面,或位於平行於由晶圓308所界定之平面的平面中。儘管參考標記332在本說明書中被描述為配置成直角三角形的標記,但可利用其他參考標記配置來實施本揭露內容之原則,例如,呈具有已知參數(例如,尺寸、形狀、方位、相對角度等)之其他形狀的參考標記。換言之,只要該特定幾何配置之參數為已知的,則可使用參考標記332以判定晶圓308的相對位置。
在範例中,末端效應器324可替代地或額外地包含參考標記340。  參考標記340對應於,例如,圍繞晶圓308之圓的周長/圓周之部分。參考標記340可幾乎與由晶圓308所界定之平面共平面,或位於平行於由晶圓308所界定之平面的平面中。
現參照圖3D,顯示攝影機304係定位於負載鎖室300上方的實施例。除了位於末端效應器324上的參考標記332及/或340以外(或替代位於末端效應器324上的參考標記332及/或340),負載鎖室300可包含參考標記344-1、344-2、及344-3(統稱為參考標記344)及/或參考標記348。參考標記344包含以類似參考標記332之方式配置成直角三角形的圓圈、點等。由參考標記344所界定之平面可幾乎與由晶圓308所界定之平面共平面,或位於平行於由晶圓308所界定之平面的平面中。相反地,參考標記348對應於,例如,圍繞晶圓308之圓的周長/圓周之部分。參考標記 344 及/或348可幾乎與由晶圓308所界定之平面共平面,或位於平行於由晶圓308所界定之平面的平面中。
因此,取決於攝影機304的位置,所擷取之晶圓308影像亦包含如圖3C中所示的參考標記332及/或參考標記340,或如圖3D中所示的參考標記344及/或348。接著可使用所擷取之影像,藉由比較所擷取之影像中晶圓308的特徵與參考標記332、340、344、及/或348,來計算晶圓308的位置,如以下所進一步詳加說明。
圖4顯示攝影機304所擷取的範例影像400。影像400包含攝影機304之視野320內的晶圓308。在範例中,晶圓308可包含凹槽408,其形成於晶圓308的邊緣中。攝影機304(及/或圖1中所示的控制器160,或如以下所更加詳述的另一裝置或模組)分析所擷取之影像400以判定晶圓308的位置。例如,影像400亦可包含參考標記332。為了繪示之目的,僅顯示圖3C之位於末端效應器324上的參考標記332。然而,如所述之其他範例在所擷取之影像400中可包含參考標記340、344、及/或348。
攝影機304分析所擷取之影像400,以藉由比較晶圓308之特徵與參考標記332來判定晶圓308之位置。例如,攝影機304可偵測鄰近參考標記332的晶圓308之邊緣412,並判定相對於參考標記332的晶圓308之位置。在範例中,攝影機304可偵測凹槽408,並進一步基於相對於參考標記332的凹槽408之位置而判定晶圓308的位置。所判定的位置可包含相對於參考標記332的平移位置(例如,橫向及/或縱向上的偏差),及/或相對於參考標記332的方位(例如,轉動位置)。僅以舉例而言,標示標稱位置416以供參考。
在範例中,攝影機304之視野320可能較小於晶圓308,如以縮小的視野420所繪示。然而,縮小的視野420仍包含晶圓308之邊緣412、凹槽408、及參考標記332。因此,攝影機304係配置以分析所擷取之影像400,以使用縮小的視野420中所擷取之特徵來判定晶圓308之位置。機器人亦可配置以在縮小的視野420內轉動晶圓308。當晶圓308在縮小的視野內轉動時,攝影機304可擷取一系列的影像,以擷取晶圓308的整個圓周。例如,若晶圓308係定位成使凹槽408未位於縮小的視野420內(或者,邊緣412位於縮小的視野420內之部分是不足的),利用機器人轉動晶圓308會使攝影機304能夠擷取晶圓308之特徵,該特徵係用以判定晶圓308之位置。
在範例中,攝影機304分析所擷取之影像400以判定晶圓308之特徵,例如弦線424。例如,攝影機304分析影像400以定位晶圓308之邊緣412並基於邊緣412來計算弦線424之位置。攝影機304接著可使用弦線424及邊緣412的曲率來計算晶圓308之中心位置428。攝影機304基於所計算之相對於參考標記332的中心位置428(以及,在若干實施例中,凹槽408)來判定晶圓308之位置(平移及轉動)。儘管如描述於此範例中,使用弦線424以判定中心位置428,亦可使用晶圓308的其他特徵來替代弦線424或可在弦線424之外額外使用晶圓308的其他特徵(例如,晶圓308的切線、半徑、及/或直徑等)。
攝影機304可儲存標稱位置資料 (例如,基於先前所擷取的、位於標稱位置中之晶圓的影像之位置)且進一步基於標稱位置資料來分析晶圓308的所擷取之影像400。標稱位置資料可包含校準資料,其對應於相對於標稱位置的攝影機304之位置,且該校準資料包含(但不限於)攝影機304距標稱位置之距離(例如,上方距離及橫向距離兩者)、相對於位於標稱位置中之晶圓之平面的攝影機304之角度、透視圖、參考標記332之已知參數(例如,參考標記間的距離及角度)等。因此,當攝影機304分析所擷取之影像400,除了晶圓308及參考標記332的位置以外,亦考量包含標稱位置中的晶圓之影像的特性,以精確地判定晶圓308之位置以及對於晶圓308之位置所需的調整。
再者,由於參考標記332之特定配置的參數係為已知,對於攝影機304之影像感測器(例如,鏡頭)而言,不必配置於平行於晶圓308之平面的平面上。例如,所擷取之影像中的參考標記332中之偏斜(亦即,由相對於晶圓308的攝影機304之位置所引起的視角、尺度等方面的差異)可與參考標記332之已知參數進行比較。當計算晶圓308之位置時,攝影機304接著可補償此偏斜現象。
現參照圖5,依據本揭露內容之原則的範例晶圓對準方法500始於504。方法500係使用如上述圖1-圖4的元件加以實施。在508,方法500判定是否開始實施晶圓的影像擷取。例如,攝影機(或其他控制器、模組等)可接收指示將晶圓傳送至負載鎖室或其他構造或自負載鎖室或其他構造傳送出的機器人之位置的資訊。若為「是」,則方法500繼續進行至512。若為「否」,則方法500繼續進行至508以再次判定是否開始實施影像擷取。
在512,攝影機擷取定位於機器人上的晶圓之影像。在516,攝影機分析該影像以判定相對於機器人的晶圓位置。例如,攝影機偵測晶圓的一或更多特徵(例如,邊緣、凹槽、弦線、中心位置等)、機器人及/或負載鎖室上的參考標記、及/或標稱位置資料(其對應於先前所擷取的位於標稱位置中之晶圓的影像) ,以判定晶圓之位置。
在520,攝影機判定是否調整晶圓之位置。例如,攝影機可判定晶圓之調整是否必要。在範例中,攝影機判定晶圓之位置與標稱位置間的差異是否大於一或更多閾值(例如,平移位移閾值、轉動位移閾值等)。若該差異係大於該等閾值的任一者,則攝影機判定調整為必要的,並繼續進行至524。若該差異係小於該閾值,則攝影機可判定不必調整,並繼續進行至528及下個基板處理步驟(例如,將晶圓放置於負載鎖室上、將晶圓傳送至處理腔室等)。
在524,調整機器人上的晶圓位置。例如,在放置晶圓(例如,至負載鎖室之基座等)之前及/或之後,可基於所計算的晶圓之位置與標稱位置間的誤差來調整機器人之位置。在一範例中,在將晶圓放置於基座上之前,基於相對於參考標記的晶圓位置來調整機器人之位置。例如,機器人的第一位置可對應相對於晶圓之目的地的機器人之預設途徑或位置。尤其,當晶圓位於機器人上的標稱位置中,第一位置可對應於通往晶圓之目的地的途徑。可基於實際所判定之晶圓位置與如上述之標稱位置間的差異而將機器人調整至偏離第一位置的第二位置。因此,當機器人將晶圓自經調整的第二位置放置至基座,晶圓係可放置於基座上的標稱位置中。
在另一範例中,機器人在進行任何調整前將晶圓放置於基座上,然後基於所判定之相對於參考標記的晶圓位置,在自基座收回晶圓之前,調整機器人之位置。換言之,晶圓係放置於基座上的非標稱位置中,然後接著調整機器人,以使當藉由機器人收回晶圓時,晶圓係位於機器人上的標稱位置中。機器人接著將晶圓放置於基座上的標稱位置中。
方法500可重複以下過程:調整機器人之位置、收回晶圓、及將晶圓送回至基座,以執行晶圓位置的進一步調整。僅以舉例而言,機器人可收回晶圓並將其送回對應於攝影機的位置,以啟動攝影機來擷取另一晶圓影像,且機器人可受到控制,以將晶圓收回及放回數次以達到所期望之位置。在528,當晶圓位於機器人上所期望之位置中時,將晶圓放置至基座、製程槽室等。該方法結束於532。
現參照圖6,顯示依據本揭露內容之原則之簡化的範例晶圓對準系統600。晶圓對準系統600包含攝影機604及控制器608(對應於,僅以舉例而言,攝影機304及控制器160)。攝影機604包含影像擷取模組612,且可選擇地包含影像分析模組616及位置校正模組620。影像擷取模組612控制擷取定位於機器人上之晶圓的影像,如圖1-圖5中所描述。例如,影像擷取模組612係響應於來自控制器608的指令,以在機器人位於對應於攝影機位置的位置中時(例如,在晶圓位於攝影機之視野內時),開始實施擷取晶圓之影像。
影像擷取模組612將所擷取之影像提供至影像分析模組616。如所顯示,影像分析模組616可設置於攝影機604及/或控制器608中。影像分析模組616分析影像以判定相對於參考標記之、機器人上的晶圓位置。例如,如以上於圖1-圖5中所述,影像分析模組616定位參考標記、晶圓之邊緣、及/或晶圓之邊緣中的凹槽,並計算晶圓之各種特徵(例如,弦線、中心位置等)的位置,並因此判定晶圓位置。影像分析模組616將所判定之晶圓位置提供至位置校正模組620,其基於所判定之位置(例如,基於所判定之位置及標稱位置)而計算對於機器人位置的校正調整(亦即,調整資料)。如所顯示,位置校正模組620可設置於攝影機604及/或控制器608中。
該調整係提供至控制器608之系統控制模組624。儘管顯示為單一模組,系統控制模組624可代表關於基板處理系統之控制的一或更多模組,例如電鍍機器人之控制,電鍍槽室裝載位置之控制等。針對本範例之目的,系統控制模組624控制機器人,以將晶圓放置至負載鎖室及自負載鎖室收回晶圓、將晶圓放置於製程槽室上等。系統控制模組624使用調整資料以控制機器人來進行一或更多次以下操作:將晶圓放置於基座上,調整機器人、收回晶圓,直至晶圓位於機器人上之標稱位置中為止,如以上於圖5中所述。
前文的敘述實質上僅為說明性,且無限制本揭露內容、其應用、或用途之意圖。可以各種形式來實施本揭露內容之主要教示。因此,儘管本揭露內容包含特定的範例,由於根據圖式、說明書、及下列請求項的研究,其他修改將變得清楚明瞭,故本揭露內容的真實範疇不應受到如此限制。如本說明書中所使用,用語「A、B、及C其中至少一者」應解釋為意指使用非排除性邏輯上的OR之邏輯上的(A or B or C),且不應解釋為意指「A中之至少一者、B中之至少一者、及C中之至少一者」。應瞭解,可在不改變本揭露內容之原則的情況下,以不同的順序(或同時)執行方法中的一或更多步驟。
在本申請案中(包含以下定義),用語「控制器」可以用語「電路」替代。用語「控制器」可能指涉、屬於、或包含下列元件:特殊應用積體電路(ASIC, Application Specific Integrated Circuit);數位、類比、或混合類比/數位離散電路;數位、類比、或混合類比/數位積體電路;組合邏輯電路;場可程式化閘陣列(FPGA, field programmable gate array);執行程式碼的處理器電路(分享的、專用的、或群組的);儲存處理器所執行程式碼之記憶體電路(分享的、專用的、或群組的);其他提供前述功能之合適的硬體元件;或上述中某些或全部的組合,例如在系統晶片(系統-on-chip)中。
控制器可包含一或更多介面電路。在某些範例中,介面電路可包含有線或無線的介面,其連接到區域網路(LAN, local area network) 、網際網路、廣域網路 (WAN, wide area network)、或其組合。可將本揭露內容的任何給定之控制器的功能分散於透過介面電路而連接的複數控制器中。例如,複數控制器能使負載平衡。在另外的範例中,伺服器(亦稱為遠端、或雲端)控制器可代替客戶控制器完成若干功能。
用語「程式碼」 (如以上所使用)可包含軟體、韌體、及/或微程式碼,亦可為程式、程序、功能、類程、資料結構、及/或物件。用語「共享處理器電路」涵蓋執行來自複數控制器的若干或全部程式碼的單一處理器電路。用語「群組處理器電路」涵蓋結合額外處理器的處理器電路,其執行來自一或更多控制器的若干或全部的程式碼。當提及複數處理器電路時,其涵蓋了分立式晶片上的複數處理器電路、單一晶片上的複數處理器電路、單一處理器電路的複數核心、單一處理器電路的複數線程、或上述之組合。用語「共享記憶體電路」涵蓋儲存來自複數控制器的若干或全部的程式碼的單一記憶體電路。用語「群組記憶體電路」涵蓋結合額外記憶體的記憶體電路,其儲存來自一或更多控制器的若干或全部的程式碼。
用語「記憶體電路」可為用語「電腦可讀媒體」之子集。用語「電腦可讀媒體」 (如本說明書中所使用),不涵蓋透過介質(例如載波)所傳播的暫態電子或電磁訊號,因此用語「電腦可讀媒體」可被認為係有形的及非暫態的。非暫態有形電腦可讀媒體之非限定範例包含非依電性記憶體電路(例如:快閃記憶體電路、可抹除唯讀記憶體電路、或遮罩唯讀記憶體電路)、依電性記憶體電路(例如:靜態隨機存取記憶體電路及動態隨機存取記憶體電路)、磁性儲存裝置(例如:類比或數位磁帶、或硬碟)、及光學儲存媒體(例如:CD、DVD、或藍光光碟)。
本申請案中所述之設備及方法可部分地或完整地透過特殊用途電腦來實行,該特殊用途電腦係藉由配置一般用途電腦來執行一或更多植入電腦程式中之特定功能而產生。上述功能性方塊及流程圖元件作為軟體說明之用,該軟體說明可藉由熟習技術之技術人員或編程人員的慣常程序作業而轉譯為電腦程式。
電腦程式包含處理器可執行的指令,該等指令係儲存於至少一非暫態有形電腦可讀媒體上。電腦程式亦可包含及/或依靠儲存的資料。電腦程式可涵蓋與特殊用途電腦之硬體互動的基本輸入/輸出系統(BIOS, basic input/output 系統)、與特殊用途電腦的特定元件互動的裝置驅動程式、一或更多操作系統、使用者應用程式、幕後服務、及幕後應用程式等。
電腦程式可包含:(i)符合語法的描述性文本,例如HTML(超文本標記式語言)或XML( 可擴展標記式語言);(ii)組合碼;(iii)由編譯器從來源碼產生的目的碼;(iv)用於由直譯器執行的來源碼;(v)用於由即時編譯器編碼與執行的來源碼等。僅以舉例而言,來源碼可藉由使用來自包含下列各項之語言的語法來寫入:C、C++、C#、Objective-C、Haskell、Go、SQL、R 、Lisp 、Java®、 Fortran, Perl、Pascal、Curl、OCaml、Javascript®、HTML5、Ada、動態伺服器網頁(ASP, active server pages)、PHP、Scala、Eiffel、Smalltalk、Erlang、Ruby、Flash®、Visual Basic®、Lua、及Python®。
記載於申請專利範圍中的要素,除非一要素係使用用語「用於…之手段」明確記載,或在使用用語「用於…的操作」或「用於…的步驟」之方法請求項的情況中,否則皆不意指美國專利法§112(f)文意中之手段功能用語的要素。
在若干實施例中,控制器係為系統的部分,該系統可為上述範例的部分。此類系統可包含半導體處理設備,含一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓基座、氣流系統等)。該等系統可與電子裝置整合,以於半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。可將該等電子裝置稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理之需求及/或系統之類型,可將控制器程式化以控制本說明書中所揭露之製程的任一者,包含處理氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF, radio frequency)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許端點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs, digital signal processors)、定義為特殊應用積體電路(ASICs, application specific integrated circuits)之晶片、及/或執行程式指令(如:軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在若干範例中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在晶圓之一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製造期間,完成一或更多的處理步驟。
在若干實施中,控制器可為電腦的部分或耦接至電腦,該電腦係與系統整合、耦接至系統、或透過網路連接至系統、或上述之組合。例如,控制器係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自許多製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在若干範例中,遠端電腦(如:伺服器)可透過網路將製程配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在若干範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之各者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本說明書中所敘述之製程及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
範例系統可包含(但不限於)電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD, physical vapor deposition)腔室或模組、化學氣相沉積(CVD, chemical vapor deposition)腔室或模組、原子層沉積(ALD, atomic layer deposition)腔室或模組、原子層蝕刻(ALE, atomic layer etch)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、群集工具、其他工具介面、鄰接工具、附近工具、位於整個工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
100‧‧‧基板處理系統
102‧‧‧處理腔室
103‧‧‧邊緣耦合環
104‧‧‧上電極
105‧‧‧致動器
106‧‧‧基座
107‧‧‧下電極
108‧‧‧基板
109‧‧‧噴淋頭
110‧‧‧射頻產生系統
111‧‧‧射頻電壓產生器
112‧‧‧匹配及分配網路
130‧‧‧氣體輸送系統
132-1‧‧‧氣體源
132-2‧‧‧氣體源
132-N‧‧‧氣體源
134-1‧‧‧閥
134-N‧‧‧閥
136-1‧‧‧質量流量控制器
136-N‧‧‧質量流量控制器
140‧‧‧歧管
142‧‧‧加熱器
150‧‧‧閥
152‧‧‧泵浦
160‧‧‧控制器
170‧‧‧機器人
172‧‧‧負載鎖室
174‧‧‧攝影機
200‧‧‧基板處理工具
220‧‧‧傳送搬運腔室
223‧‧‧晶圓匣及/或晶圓盒
224‧‧‧機器人
225‧‧‧基板
230‧‧‧負載鎖室
232‧‧‧埠口
233‧‧‧基座
234‧‧‧埠口
235‧‧‧處理搬運腔室
236‧‧‧機器人
237-1‧‧‧埠口
237-2‧‧‧埠口
237-3‧‧‧埠口
240-1‧‧‧反應器
240-2‧‧‧反應器
240-3‧‧‧反應器
242‧‧‧指向機構
244‧‧‧心軸
246‧‧‧傳送板
300‧‧‧負載鎖室
304‧‧‧攝影機
308‧‧‧晶圓
312‧‧‧機器人
316-1‧‧‧位置
316-2‧‧‧位置
316-n‧‧‧位置
320‧‧‧視野
324‧‧‧末端效應器
328‧‧‧基座
332-1‧‧‧參考標記
332-2‧‧‧參考標記
332-3‧‧‧參考標記
336‧‧‧虛線
340‧‧‧參考標記
344-1‧‧‧參考標記
344-2‧‧‧參考標記
344-3‧‧‧參考標記
348‧‧‧參考標記
400‧‧‧影像
408‧‧‧凹槽
412‧‧‧邊緣
416‧‧‧標稱位置
420‧‧‧縮小的視野
424‧‧‧弦線
428‧‧‧中心位置
500‧‧‧方法
504‧‧‧步驟
508‧‧‧步驟
512‧‧‧步驟
516‧‧‧步驟
520‧‧‧步驟
524‧‧‧步驟
528‧‧‧步驟
532‧‧‧步驟
600‧‧‧晶圓對準系統
604‧‧‧攝影機
608‧‧‧控制器
612‧‧‧影像擷取模組
616‧‧‧影像分析模組
620‧‧‧位置校正模組
624‧‧‧系統控制模組
由實施方式及隨附圖式將更充分理解本揭露內容,其中:
圖1依據本揭露內容之原則,係為範例基板處理系統的功能性方塊圖;
圖2依據本揭露內容之原則,係為基板處理工具之範例的功能性方塊圖;
圖3A依據本揭露內容之原則,繪示攝影機及負載鎖室的範例配置;
圖3B依據本揭露內容之原則,係為定位於晶圓上方之攝影機的範例配置;
圖3C依據本揭露內容之原則,繪示設置於機器人之末端效應器上的範例參考標記;
圖3D依據本揭露內容之原則,繪示設置於負載鎖室上的範例參考標記;
圖4依據本揭露內容之原則,係為所擷取之晶圓及參考標記的範例影像;
圖5依據本揭露內容之原則,說明範例晶圓對準方法的步驟;及
圖6依據本揭露內容之原則,係為範例晶圓對準系統的功能性方塊圖。
在該等圖式中,可重複使用參考符號以識別相似及/或相同的元件。
100‧‧‧基板處理系統
102‧‧‧處理腔室
103‧‧‧邊緣耦合環
104‧‧‧上電極
105‧‧‧致動器
106‧‧‧基座
107‧‧‧下電極
108‧‧‧基板
109‧‧‧噴淋頭
110‧‧‧射頻產生系統
111‧‧‧射頻電壓產生器
112‧‧‧匹配及分配網路
130‧‧‧氣體輸送系統
132-1‧‧‧氣體源
132-2‧‧‧氣體源
132-N‧‧‧氣體源
134-1‧‧‧閥
134-N‧‧‧閥
136-1‧‧‧質量流量控制器
136-N‧‧‧質量流量控制器
140‧‧‧歧管
142‧‧‧加熱器
150‧‧‧閥
152‧‧‧泵浦
160‧‧‧控制器
170‧‧‧機器人
172‧‧‧負載鎖室
174‧‧‧攝影機

Claims (18)

  1. 一種晶圓對準系統,其包含: 影像擷取模組,其擷取定位於機器人上之晶圓的影像; 影像分析模組,其分析該影像以判定該機器人上之該晶圓的位置; 位置校正模組,其基於所判定之該機器人上之該晶圓的位置而計算調整資料 ;及 系統控制模組,其基於所計算之調整資料及該機器人上之該晶圓的標稱位置而控制該機器人以進行放置該晶圓與收回該晶圓兩者其中至少一者。
  2. 如申請專利範圍第1項之晶圓對準系統,其中該影像擷取模組係配置於沿著該機器人自該晶圓之收回位置至該晶圓之目的地之路徑的位置中。
  3. 如申請專利範圍第1項之晶圓對準系統,其中該影像擷取模組係設置於負載鎖室之基座上方。
  4. 如申請專利範圍第1項之晶圓對準系統,其中,為擷取該晶圓的影像,該影像擷取模組響應於該機器人位於預定位置的指示而擷取該晶圓的影像。
  5. 如申請專利範圍第1項之晶圓對準系統,其中,為判定該機器人上之該晶圓的位置,該影像分析模組定位該機器人上之參考標記,並使用該參考標記及該晶圓之一或更多特徵來判定該晶圓之位置。
  6. 如申請專利範圍第5項之晶圓對準系統,其中該參考標記包含至少三標記。
  7. 如申請專利範圍第6項之晶圓對準系統,其中該至少三標記係配置成三角形。
  8. 如申請專利範圍第5項之晶圓對準系統,其中該晶圓之該一或更多特徵包含下列至少一者:該晶圓之邊緣、形成於該晶圓之邊緣中的凹槽、及該晶圓之中心位置。
  9. 如申請專利範圍第1項之晶圓對準系統,其中為計算該調整資料,該位置校正模組進一步基於該機器人上之該晶圓的該標稱位置而計算該調整資料。
  10. 一種晶圓對準方法,其包含: 擷取定位於機器人上之晶圓的影像; 分析該影像以判定該機器人上之該晶圓的位置; 基於所判定之該機器人上之該晶圓的位置而計算調整資料;及 基於所計算之調整資料及該機器人上之該晶圓的標稱位置而控制該機器人以進行放置該晶圓與收回該晶圓兩者其中至少一者。
  11. 如申請專利範圍第10項之晶圓對準方法,其中擷取該晶圓的影像之該步驟包含從沿著該機器人自該晶圓之收回位置至該晶圓之目的地之路徑的位置中擷取該晶圓的影像。
  12. 如申請專利範圍第10項之晶圓對準方法,其中擷取該晶圓的影像之該步驟包含從負載鎖室之基座上方的位置擷取該晶圓的影像。
  13. 如申請專利範圍第10項之晶圓對準方法,其中擷取該晶圓的影像之該步驟包含響應於該機器人位於預定位置的指示而擷取該晶圓的影像。
  14. 如申請專利範圍第10項之晶圓對準方法,其中判定該機器人上之該晶圓的位置之該步驟包含定位該機器人上之參考標記,並使用該參考標記及該晶圓之一或更多特徵來判定該晶圓之位置。
  15. 如申請專利範圍第14項之晶圓對準方法,其中該參考標記包含至少三標記。
  16. 如申請專利範圍第15項之晶圓對準方法,其中該至少三標記係配置成三角形。
  17. 如申請專利範圍第14項之晶圓對準方法,其中該晶圓之該一或更多特徵包含下列至少一者:該晶圓之邊緣、形成於該晶圓之邊緣中的凹槽、及該晶圓之中心位置。
  18. 如申請專利範圍第10項之晶圓對準方法,其中計算該調整資料之該步驟包含基於該機器人上之該晶圓的該標稱位置而計算該調整資料。
TW105123521A 2015-07-30 2016-07-26 具有ccd攝影機與機器人之晶圓對準及定心系統與方法 TWI681852B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/813,895 US9966290B2 (en) 2015-07-30 2015-07-30 System and method for wafer alignment and centering with CCD camera and robot
US14/813,895 2015-07-30

Publications (2)

Publication Number Publication Date
TW201713471A true TW201713471A (zh) 2017-04-16
TWI681852B TWI681852B (zh) 2020-01-11

Family

ID=57882805

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105123516A TW201714145A (zh) 2015-07-30 2016-07-26 基於視覺的晶圓切口位置測量
TW105123521A TWI681852B (zh) 2015-07-30 2016-07-26 具有ccd攝影機與機器人之晶圓對準及定心系統與方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW105123516A TW201714145A (zh) 2015-07-30 2016-07-26 基於視覺的晶圓切口位置測量

Country Status (3)

Country Link
US (2) US9966290B2 (zh)
KR (1) KR20170015208A (zh)
TW (2) TW201714145A (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI636521B (zh) * 2017-06-20 2018-09-21 梭特科技股份有限公司 影像校準的置晶方法及置晶設備
CN108986167A (zh) * 2017-06-05 2018-12-11 梭特科技股份有限公司 置晶设备的校正方法及使用该方法的置晶设备
CN109103122A (zh) * 2017-06-20 2018-12-28 梭特科技股份有限公司 影像校准的置晶方法及置晶设备

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180015614A1 (en) * 2015-02-04 2018-01-18 Kawasaki Jukogyo Kabushiki Kaisha Robot shakes automatically adjusting device and method of automatically adjusting shakes of robot
KR101757815B1 (ko) * 2015-09-25 2017-07-14 세메스 주식회사 기판 중심 검출 방법, 기판 반송 방법, 반송 유닛 및 이를 포함하는 기판 처리 장치.
JP6444909B2 (ja) * 2016-02-22 2018-12-26 東京エレクトロン株式会社 基板処理方法、基板処理装置及びコンピュータ読み取り可能な記録媒体
KR101817209B1 (ko) * 2016-06-24 2018-02-22 세메스 주식회사 기판 처리 장치 및 방법
US10354373B2 (en) 2017-04-26 2019-07-16 Kla-Tencor Corporation System and method for photomask alignment and orientation characterization based on notch detection
JP6991783B2 (ja) * 2017-08-23 2022-01-13 キヤノン株式会社 物品の搬送方法、物品の搬送装置、光学素子の製造方法、光学素子の製造装置、プログラム、記録媒体
US10989652B2 (en) 2017-09-06 2021-04-27 Lam Research Corporation Systems and methods for combining optical metrology with mass metrology
US11088004B2 (en) 2018-01-30 2021-08-10 Brooks Automation, Inc. Automatic wafer centering method and apparatus
KR102433436B1 (ko) 2018-07-04 2022-08-17 삼성전자주식회사 기판 처리 시스템, 기판 처리 시스템에서의 에지 링 정렬 검사 방법 및 이를 수행하기 위한 원반형 비젼 센서
CN111106053B (zh) * 2018-10-25 2023-08-04 上海微电子装备(集团)股份有限公司 一种硅片预对准装置和方法
JP7058239B2 (ja) * 2019-03-14 2022-04-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
TW202111834A (zh) * 2019-06-03 2021-03-16 美商應用材料股份有限公司 非接觸式的低基板溫度測量方法
US11626305B2 (en) * 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
JP7442078B2 (ja) * 2019-07-09 2024-03-04 パナソニックIpマネジメント株式会社 画像処理装置および画像処理方法
WO2021044623A1 (ja) * 2019-09-06 2021-03-11 キヤノンアネルバ株式会社 ロードロック装置
US11295975B2 (en) 2019-09-13 2022-04-05 Brooks Automation Us, Llc Method and apparatus for substrate alignment
CN112884828B (zh) * 2019-11-29 2023-10-27 上海先进半导体制造有限公司 遮挡元件位置的监控方法、系统、电子设备和存储介质
US11924972B2 (en) 2020-06-02 2024-03-05 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source
US11589474B2 (en) 2020-06-02 2023-02-21 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source
US11284018B1 (en) 2020-09-15 2022-03-22 Applied Materials, Inc. Smart camera substrate
TW202238803A (zh) * 2021-02-26 2022-10-01 日商東京威力科創股份有限公司 搬運系統、搬運裝置及搬運方法
US11768484B2 (en) * 2021-03-31 2023-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer cooling
US20220230927A1 (en) * 2021-04-15 2022-07-21 Jnk Tech Glass and wafer inspection system and a method of use thereof
US11508590B2 (en) * 2021-04-15 2022-11-22 Jnk Tech Substrate inspection system and method of use thereof
US11823939B2 (en) 2021-09-21 2023-11-21 Applied Materials, Inc. Apparatus and methods for processing chamber lid concentricity alignment
US11942345B2 (en) * 2022-07-15 2024-03-26 Applied Materials, Inc. Automated substrate placement to chamber center
CN114975213B (zh) * 2022-07-26 2022-11-18 之江实验室 一种晶圆对准装置及对准方法

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4055376A (en) 1975-10-02 1977-10-25 Rockwell International Corporation Calibration reticle for measuring microscopes
US4819167A (en) 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US4971443A (en) 1987-12-25 1990-11-20 Dainippon Screen Mfg. Co., Ltd. Optical position detecting method and apparatus therefor
CA2044649A1 (en) 1990-06-19 1991-12-20 Masanori Nishiguchi Method and apparatus for packaging a semiconductor device
US5546179A (en) 1994-10-07 1996-08-13 Cheng; David Method and apparatus for mapping the edge and other characteristics of a workpiece
US5530548A (en) 1994-11-07 1996-06-25 Automotive Systems Laboratory, Inc. Calibratable optical distance sensing system and method
US5675407A (en) 1995-03-02 1997-10-07 Zheng Jason Geng Color ranging method for high speed low-cost three dimensional surface profile measurement
US5822213A (en) 1996-03-29 1998-10-13 Lam Research Corporation Method and apparatus for determining the center and orientation of a wafer-like object
US5905850A (en) * 1996-06-28 1999-05-18 Lam Research Corporation Method and apparatus for positioning substrates
EP0837333A3 (en) 1996-10-18 1999-06-09 Tokyo Electron Limited Apparatus for aligning a semiconductor wafer with an inspection contactor
JP2001509643A (ja) 1997-07-11 2001-07-24 ジェンマーク・オートメーション 複数ポイント位置走査システム
US6114705A (en) 1997-09-10 2000-09-05 Varian Semiconductor Equipment Associates, Inc. System for correcting eccentricity and rotational error of a workpiece
BE1011535A3 (nl) 1997-11-05 1999-10-05 Framatome Connectors Belgium Werkwijze en inrichting voor het opmeten van de positie van een reeks contactpennen en voor het aanbrengen van deze reeks in een plaat met gedrukte schakelingen.
US6126382A (en) 1997-11-26 2000-10-03 Novellus Systems, Inc. Apparatus for aligning substrate to chuck in processing chamber
US6244121B1 (en) 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6215897B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
JP3957413B2 (ja) 1998-10-08 2007-08-15 松下電器産業株式会社 ウェーハ位置検出方法及びその検出装置
US6188323B1 (en) 1998-10-15 2001-02-13 Asyst Technologies, Inc. Wafer mapping system
AU4175800A (en) 1999-03-22 2000-10-09 Sensys Instruments Corporation Method and apparatus for wafer metrology
US6275742B1 (en) 1999-04-16 2001-08-14 Berkeley Process Control, Inc. Wafer aligner system
US6191851B1 (en) 1999-04-28 2001-02-20 Battelle Memorial Institute Apparatus and method for calibrating downward viewing image acquisition systems
US6195619B1 (en) 1999-07-28 2001-02-27 Brooks Automation, Inc. System for aligning rectangular wafers
JP4389305B2 (ja) 1999-10-06 2009-12-24 東京エレクトロン株式会社 処理装置
US6502054B1 (en) 1999-11-22 2002-12-31 Lam Research Corporation Method of and apparatus for dynamic alignment of substrates
US6629053B1 (en) 1999-11-22 2003-09-30 Lam Research Corporation Method and apparatus for determining substrate offset using optimization techniques
JP2001210692A (ja) 2000-01-26 2001-08-03 Ebara Corp ティーチングの方法
US6409463B1 (en) 2000-02-08 2002-06-25 Seh America, Inc. Apparatuses and methods for adjusting a substrate centering system
EP1269521A1 (en) 2000-04-07 2003-01-02 Varian Semiconductor Equipment Associates Inc. WAFER ORIENTATION SENSOR FOR GaAs WAFERS
US6856863B1 (en) 2000-07-27 2005-02-15 Applied Materials, Inc. Method and apparatus for automatic calibration of robots
JP4740405B2 (ja) 2000-11-09 2011-08-03 東京エレクトロン株式会社 位置合わせ方法及びプログラム記録媒体
US6591160B2 (en) 2000-12-04 2003-07-08 Asyst Technologies, Inc. Self teaching robot
JP3920587B2 (ja) 2001-04-16 2007-05-30 東京エレクトロン株式会社 基板搬送手段のティーチング方法
US7008802B2 (en) * 2001-05-29 2006-03-07 Asm America, Inc. Method and apparatus to correct water drift
US6747746B2 (en) 2001-07-16 2004-06-08 Therma-Wave, Inc. System and method for finding the center of rotation of an R-theta stage
US7106490B2 (en) 2001-12-14 2006-09-12 Micronic Laser Systems Ab Methods and systems for improved boundary contrast
TWI274393B (en) 2002-04-08 2007-02-21 Acm Res Inc Electropolishing and/or electroplating apparatus and methods
US7233841B2 (en) 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
JP4260423B2 (ja) 2002-05-30 2009-04-30 ローツェ株式会社 円盤状物の基準位置教示方法、位置決め方法および搬送方法並びに、それらの方法を使用する円盤状物の基準位置教示装置、位置決め装置、搬送装置および半導体製造設備
US6900877B2 (en) 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
JP4257570B2 (ja) 2002-07-17 2009-04-22 株式会社安川電機 搬送用ロボットのティーチング装置および搬送用ロボットのティーチング方法
US6990430B2 (en) 2002-12-20 2006-01-24 Brooks Automation, Inc. System and method for on-the-fly eccentricity recognition
JP2004288792A (ja) 2003-03-20 2004-10-14 Lintec Corp アライメント装置及びアライメント方法
US6748293B1 (en) 2003-03-24 2004-06-08 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for high speed object handling
US6952255B2 (en) 2003-08-06 2005-10-04 Lam Research Corporation System and method for integrated multi-use optical alignment
JP2005068502A (ja) 2003-08-26 2005-03-17 Sharp Corp 気相成長装置
US7227628B1 (en) 2003-10-10 2007-06-05 Kla-Tencor Technologies Corp. Wafer inspection systems and methods for analyzing inspection data
JP4501103B2 (ja) 2003-10-17 2010-07-14 株式会社安川電機 半導体ウェハ搬送ロボットのキャリブレーション方法およびそれを備えた半導体ウェハ搬送ロボット、ウェハ搬送装置
US8634633B2 (en) * 2003-11-10 2014-01-21 Brooks Automation, Inc. Wafer center finding with kalman filter
US7319920B2 (en) 2003-11-10 2008-01-15 Applied Materials, Inc. Method and apparatus for self-calibration of a substrate handling robot
US20050137751A1 (en) 2003-12-05 2005-06-23 Cox Damon K. Auto-diagnostic method and apparatus
KR20050087361A (ko) 2004-02-26 2005-08-31 세메스 주식회사 기판 이송 장치
KR100577582B1 (ko) 2004-06-09 2006-05-08 삼성전자주식회사 반도체 포토 스피너 설비 및 이를 이용한 웨이퍼 티칭불량방지방법
US20060009047A1 (en) 2004-07-09 2006-01-12 Wirth Paul Z Modular tool unit for processing microelectronic workpieces
US20060045666A1 (en) 2004-07-09 2006-03-02 Harris Randy A Modular tool unit for processing of microfeature workpieces
JP2007037967A (ja) 2004-11-30 2007-02-15 Lion Corp 洗浄用具
US7352440B2 (en) 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography
US20060167583A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Method and apparatus for on the fly positioning and continuous monitoring of a substrate in a chamber
KR20060088817A (ko) * 2005-01-28 2006-08-07 가부시키가이샤 이빔 기판처리장치 및 기판처리방법
US7197828B2 (en) 2005-05-31 2007-04-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing FPD chuck Z position measurement
US20070177963A1 (en) 2006-02-01 2007-08-02 Tang Chee W End effector for transferring a wafer
JP4741408B2 (ja) 2006-04-27 2011-08-03 株式会社荏原製作所 試料パターン検査装置におけるxy座標補正装置及び方法
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR20080023890A (ko) 2006-09-12 2008-03-17 삼성전자주식회사 반도체 제조설비의 웨이퍼 정렬장치
JP4809744B2 (ja) * 2006-09-19 2011-11-09 東京エレクトロン株式会社 ウエハの中心検出方法及びその方法を記録した記録媒体
CN101154610B (zh) * 2006-09-25 2010-05-12 北京北方微电子基地设备工艺研究中心有限责任公司 搬运校准装置及应用该装置的晶片传输系统
JP2008251968A (ja) 2007-03-30 2008-10-16 Hitachi High-Technologies Corp ウエハ処理装置の運転方法
US8224607B2 (en) 2007-08-30 2012-07-17 Applied Materials, Inc. Method and apparatus for robot calibrations with a calibrating device
JP4530011B2 (ja) * 2007-09-12 2010-08-25 富士ゼロックス株式会社 位置計測システム
US8099192B2 (en) 2007-11-06 2012-01-17 Novellus Systems, Inc. Method and apparatus for teaching a workpiece transfer robot
KR101590655B1 (ko) * 2007-12-27 2016-02-18 램 리써치 코포레이션 동적 정렬 빔 교정의 방법 및 시스템
JP5409649B2 (ja) 2007-12-27 2014-02-05 ラム リサーチ コーポレーション 位置およびオフセットを決定するためのシステムおよび方法
WO2009086164A2 (en) 2007-12-27 2009-07-09 Lam Research Corporation Systems and methods for calibrating end effector alignment using at least a light source
KR20140065376A (ko) * 2011-02-25 2014-05-29 가부시키가이샤 니콘 관찰 장치, 검사 장치, 반도체 장치의 제조 방법, 및 기판 지지 부재
JP5490741B2 (ja) 2011-03-02 2014-05-14 東京エレクトロン株式会社 基板搬送装置の位置調整方法、及び基板処理装置
WO2013162842A1 (en) * 2012-04-25 2013-10-31 Applied Materials, Inc. Wafer edge measurement and control

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108986167A (zh) * 2017-06-05 2018-12-11 梭特科技股份有限公司 置晶设备的校正方法及使用该方法的置晶设备
TWI636521B (zh) * 2017-06-20 2018-09-21 梭特科技股份有限公司 影像校準的置晶方法及置晶設備
CN109103122A (zh) * 2017-06-20 2018-12-28 梭特科技股份有限公司 影像校准的置晶方法及置晶设备
CN109103122B (zh) * 2017-06-20 2020-10-16 梭特科技股份有限公司 影像校准的置晶方法及置晶设备

Also Published As

Publication number Publication date
US9831110B2 (en) 2017-11-28
US9966290B2 (en) 2018-05-08
TWI681852B (zh) 2020-01-11
KR20170015208A (ko) 2017-02-08
US20170028560A1 (en) 2017-02-02
US20170032510A1 (en) 2017-02-02
TW201714145A (zh) 2017-04-16

Similar Documents

Publication Publication Date Title
TW201713471A (zh) 具有ccd攝影機與機器人之晶圓對準及定心系統與方法
KR102662003B1 (ko) 영상 기반 웨이퍼 노치 위치 측정
CN109983569B (zh) 使用环动态对准数据的边缘环居中方法
TWI797207B (zh) 對於旋轉晶圓之處理模組之處理站的自動校正
KR101433391B1 (ko) 기판의 처리방법, 컴퓨터 기억매체 및 기판처리 시스템
TW201740501A (zh) 晶圓傳送用的晶圓升降環系統
US20190072482A1 (en) Systems and methods for combining optical metrology with mass metrology
KR20210134828A (ko) 인덱싱된 (indexed) 멀티-스테이션 프로세싱 챔버들 내에서 웨이퍼 배치 보정
US11380568B2 (en) Transfer method and transfer system
CN113785387A (zh) 用于衬底传送机械手自动校正的器具
JP7037964B2 (ja) 測定器、及びフォーカスリングを検査するためのシステムの動作方法
JP2022542945A (ja) カメラウエハを使用した台座セットアップ
US20230078310A1 (en) Method of detecting deviation amount of substrate transport position and substrate processing apparatus
KR20220127926A (ko) 자동화된 회전 사전 정렬을 사용한 에지 링 이송
US20220299980A1 (en) Teaching method for transfer device, and transfer system
WO2023074876A1 (ja) 測定方法及び測定システム
TW202137822A (zh) 基板處理系統之高精度邊緣環定心