KR20210134828A - 인덱싱된 (indexed) 멀티-스테이션 프로세싱 챔버들 내에서 웨이퍼 배치 보정 - Google Patents

인덱싱된 (indexed) 멀티-스테이션 프로세싱 챔버들 내에서 웨이퍼 배치 보정 Download PDF

Info

Publication number
KR20210134828A
KR20210134828A KR1020217035322A KR20217035322A KR20210134828A KR 20210134828 A KR20210134828 A KR 20210134828A KR 1020217035322 A KR1020217035322 A KR 1020217035322A KR 20217035322 A KR20217035322 A KR 20217035322A KR 20210134828 A KR20210134828 A KR 20210134828A
Authority
KR
South Korea
Prior art keywords
wafer
chamber
pedestal
calibration
indexer
Prior art date
Application number
KR1020217035322A
Other languages
English (en)
Inventor
스티븐 토핑
동 니우
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20210134828A publication Critical patent/KR20210134828A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

웨이퍼들을 멀티-스테이션 프로세싱 챔버의 이송 페데스탈로 제공하기 위해 복수의 타입들의 오프셋들을 결정하고 사용하기 위한 시스템들 및 기법들이 개시된다. 이러한 기법들은 멀티-스테이션 챔버의 페데스탈이 특정한 웨이퍼에 할당되는 것에 기초하여 선택될 수도 있는 페데스탈-특정 오프셋들을 제공하도록 사용될 수도 있다. 유사한 기법들이 인덱서의 인덱서 암이 주어진 웨이퍼에 할당되는 것에 기초하여 웨이퍼 지지부-특정 오프셋들을 제공하도록 사용될 수도 있다.

Description

인덱싱된 (INDEXED) 멀티-스테이션 프로세싱 챔버들 내에서 웨이퍼 배치 보정
반도체 프로세싱 툴들은 통상적으로 각각 하나 이상의 스테이션들을 포함하는 하나 이상의 챔버들을 갖는다. 스테이션 각각은 반도체 프로세싱 동작들 동안 그 위 에 웨이퍼를 지지하기 위한 페데스탈을 가질 수도 있다. 일부 반도체 프로세싱 툴들에서, 단일 챔버는 복수의 스테이션들/페데스탈들을 포함할 수도 있다. 이러한 멀티-스테이션 챔버들은, 일부 예들에서, 다양한 반도체 프로세싱 동작들 사이에서 웨이퍼들을 챔버 내 스테이션/페데스탈로부터 스테이션/페데스탈로 이송하도록 사용될 수 있는 회전 인덱서를 포함할 수도 있다.
인덱서는 통상적으로 중심 허브 및 복수의 동일한 길이의 인덱서 암을 포함한다. 인덱서 암 각각의 근위 단부는 일반적으로 중앙 허브와 연결되고 웨이퍼 지지부는 통상적으로 인덱서 암 각각의 원위 단부에 위치되고; 인덱서 암들은 일반적으로 중심 허브 둘레에 고르게 이격된다. 회전 구동 시스템은 중심 허브 및 이에 부착된 인덱서 암들 및 웨이퍼 지지부들로 하여금 공통 회전 축을 중심으로 회전하게 하도록 제공될 수도 있다.
인덱서를 갖는 멀티-스테이션 챔버에서, 챔버의 스테이션들/페데스탈들은 인덱서의 중심 허브가 임의의 제 1 세트의 각도 위치들로 회전될 때 인덱서 암 각각이 스테이션들/페데스탈들 중 하나 위에 동시에 포지셔닝될 수 있고 그리고 인덱서의 중심 허브가 임의의 제 2 세트의 각도 위치들로 회전될 때 2 개의 인접한 스테이션들/페데스탈들 사이에 동시에 포지셔닝될 수 있도록 인덱서의 회전 축 둘레에 원형 어레이로 배열될 수도 있다. 반도체 프로세싱 동작들 동안, 인덱서는 제 2 세트의 각도 위치들 중 하나의 각도 위치들로 회전될 수도 있어, 스테이션들/페데스탈들의 인접한 쌍들 사이에 인덱서 암 각각을 스토잉 (stowing) 한다. 반도체 동작들이 완료될 때, 웨이퍼들은 예를 들어, 페데스탈들로부터 연장하는 리프트 핀들에 의해 그들의 각각의 페데스탈들로부터 리프팅될 수도 있고, 이어서 인덱서는 리프팅된 웨이퍼들 중 대응하는 웨이퍼 아래의 인덱서 암 각각의 원위 단부에 웨이퍼 지지부 각각을 포지셔닝하기 위해 제 1 세트의 각도 위치들 중 하나의 각도 위치들로 회전된다. 이어서 리프트 핀들은 그들의 각각의 페데스탈들 내로 후퇴될 수도 있고, 이에 따라 웨이퍼 각각 아래에 위치된 인덱서 암의 웨이퍼 지지부 상으로 웨이퍼 각각을 하강시킨다. 이어서 인덱서는 페데스탈들 중 또 다른 페데스탈들 위에 웨이퍼 각각을 포지셔닝하도록 회전될 수도 있고,이 지점에서 페데스탈들의 리프트 핀들은 인덱서 암들의 웨이퍼 지지부들로부터 웨이퍼들을 리프팅하도록 다시 연장될 수도 있다. 이어서 인덱서는 제 2 세트의 각도 위치들 중 하나의 각도 위치들로 다시 회전될 수도 있고 리프트 핀들은 웨이퍼들을 그들의 각각의 페데스탈들 상으로 하강시키도록 후퇴된다.
본 개시는 멀티-스테이션 프로세싱 챔버들 내에서 웨이퍼 프로세싱 균일 성을 개선하기 위한 새로운 시스템들 및 기법들에 관한 것이다.
참조로서 인용
PCT 출원서 양식은 본 출원의 일부로서 본 명세서와 동시에 제출된다. 본 출원이 동시에 제출된 PCT 출원서에서 식별된 바의 이점 또는 우선권을 주장하는 출원 각각은 모든 목적을 위해 전체가 참조로서 본 명세서에 인용된다.
본 명세서에 기술된 주제의 하나 이상의 구현 예들의 상세들은 첨부된 도면들 및 이하의 기술에 제시된다. 다른 특징들, 양태들, 및 장점들은 기술, 도면들 및 청구항들로부터 명백해질 것이다. 다음의 비 제한적인 구현 예들은 본 개시의 일부로 간주되고; 다른 구현 예들은 또한 본 개시의 전체 및 첨부된 도면들로부터 자명할 것이다.
일부 구현 예들에서, 인덱서 및 인덱서의 회전 축에 센터링되는 (centered around a rotational axis) 원형 어레이의 N 개의 페데스탈들을 갖는 제 1 챔버를 포함하는 장치가 제공될 수도 있다. 제 1 챔버의 N 개의 페데스탈들의 페데스탈은 이송 페데스탈일 수도 있고, 제 1 챔버의 페데스탈 각각은 웨이퍼를 지지하도록 구성될 수도 있고, N은 1보다 큰 정수일 수도 있고, 제 1 챔버는 이송 페데스탈과 연관된 액티브 웨이퍼 센터링 시스템을 포함할 수 있고, 제 1 챔버는 멀티-스테이션 반도체 프로세싱 챔버일 수도 있다. 장치는 제 1 챔버의 이송 페데스탈에 개별 웨이퍼들을 제공하도록 구성된 로봇 암을 갖는 웨이퍼 핸들링 로봇 뿐만 아니라, 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함하는 제어기를 더 포함할 수도 있다. 하나 이상의 프로세서들, 하나 이상의 메모리 디바이스들, 웨이퍼 핸들링 로봇, 제 1 챔버의 인덱서, 및 제 1 챔버의 액티브 웨이퍼 센터링 시스템은 서로 동작 가능하게 연결될 수도 있고, 그리고 하나 이상의 메모리 디바이스들은 (a) 제 1 웨이퍼에 대한 상기 제 1 챔버의 목적지 페데스탈로서 상기 제 1 챔버의 상기 N 개의 페데스탈들 중 하나를 선택하고; (b) 상기 제 1 챔버의 N 개의 페데스탈 오프셋들의 세트로부터 상기 제 1 챔버의 목적지 페데스탈과 연관된 제 1 페데스탈 오프셋을 선택하고-상기 제 1 챔버의 상기 N 개의 페데스탈 오프셋들의 세트의 페데스탈 오프셋 각각은 상기 제 1 챔버의 상기 N 개의 페데스탈들의 상이한 페데스탈과 연관됨-; (c) 상기 제 1 챔버의 상기 액티브 웨이퍼 센터링 시스템으로부터 상기 제 1 웨이퍼의 상기 중심의 수평 위치를 나타내는 정보를 획득하고; (d) 상기 제 1 웨이퍼의 상기 중심의 상기 수평 위치를 나타내는 상기 제 1 챔버의 상기 액티브 웨이퍼 센터링 시스템으로부터 획득된 정보에 적어도 부분적으로 기초하여 상기 제 1 웨이퍼와 연관된 제 1 엔드 이펙터 오프셋을 결정하고; 그리고 (e) 상기 로봇 암으로 하여금 상기 제 1 페데스탈 오프셋 및 상기 제 1 엔드 이펙터 오프셋에 기초하여 상기 제 1 챔버의 상기 이송 페데스탈로 상기 제 1 웨이퍼를 제공하게 하도록, 상기 하나 이상의 프로세서들을 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
장치의 일부 구현 예들에서, 제 1 챔버, 하나 이상의 프로세서들, 및 하나 이상의 메모리 디바이스들은 서로 동작 가능하게 연결될 수도 있고, 그리고 하나 이상의 메모리 디바이스들은 (f) 상기 제 1 챔버의 상기 인덱서로 하여금 상기 제 1 챔버의 상기 이송 페데스탈로부터 상기 제 1 챔버의 상기 목적지 페데스탈로 상기 제 1 웨이퍼를 이동하게 하고; 그리고(g) 상기 제 1 챔버로 하여금 상기 제 1 챔버의 상기 목적지 페데스탈 상의 상기 제 1 웨이퍼 상에서 하나 이상의 반도체 프로세싱 동작들을 수행하게 하도록, 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
장치의 일부 구현 예들에서, 제 1 챔버, 하나 이상의 프로세서들, 및 하나 이상의 메모리 디바이스들은 서로 동작 가능하게 연결될 수도 있고, 제 1 챔버의 이송 페데스탈은 제 1 챔버의 목적지 페데스탈 일 수도 있고, 그리고 하나 이상의 메모리 디바이스들은 상기 제 1 웨이퍼가 상기 제 1 챔버의 상기 목적지 페데스탈 상에 있는 동안 상기 제 1 챔버가 상기 제 1 웨이퍼를 프로세싱하게 하도록 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
장치의 일부 구현 예들에서, 제 1 챔버, 하나 이상의 프로세서들, 및 하나 이상의 메모리 디바이스들은 서로 동작 가능하게 연결될 수도 있고, 그리고 하나 이상의 메모리 디바이스들은 N 개의 웨이퍼들 각각에 대해 동작 (a) 내지 동작 (e) 를 수행하고-상기 제 1 챔버의 상기 N 개의 페데스탈들 중 상이한 페데스탈이 상기 N 개의 웨이퍼들 각각에 대한 상기 제 1 챔버의 상기 목적지 페데스탈로서 선택됨-; 상기 제 1 챔버의 인덱서로 하여금 상기 N 개의 웨이퍼들의 상기 제 1 내지 제 M 웨이퍼들 각각의 웨이퍼를 상기 제 1 내지 상기 M 웨이퍼들의 웨이퍼 각각에 대해 선택된 상기 제 1 챔버의 상기 목적지 페데스탈로 이동하게 하고-M = N -1 이고 상기 제 1 챔버의 상기 이송 페데스탈은 상기 제 N 웨이퍼에 대한 상기 제 1 챔버의 목적지 페데스탈임-; 그리고 상기 N 개의 웨이퍼들 각각의 웨이퍼가 상기 제 1 챔버의 상기 대응하는 목적지 페데스탈에 의해 지지되는 동안 상기 제 1 챔버로 하여금 상기 N 웨이퍼들 상에 하나 이상의 반도체 프로세싱 동작들을 수행하게 하도록 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
장치의 일부 구현 예들에서, 상기 하나 이상의 메모리 디바이스들은 상기 제 1 챔버의 상기 N 개의 페데스탈들의 페데스탈 각각에 대해, 상기 제 1 챔버의 상기 페데스탈과 연관된 상기 페데스탈 오프셋을 획득하고; 그리고 페데스탈 오프셋 각각을 상기 제 1 챔버의 상기 N 개의 페데스탈들 중 상기 대응하는 페데스탈과 연관시키는 데이터 구조에 상기 제 1 챔버의 상기 페데스탈과 연관된 페데스탈 오프셋을 저장하도록, 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
장치의 일부 구현 예들에서, N은 4와 같을 수도 있다.
장치의 일부 구현 예들에서, 하나 이상의 메모리 디바이스들은, (f) 제 1 캘리브레이션 웨이퍼에 대한 상기 제 1 챔버의 목적지 캘리브레이션 페데스탈로서 상기 제 1 챔버의 상기 N 개의 페데스탈들 중 하나를 선택하고;(g) 상기 액티브 웨이퍼 센터링 시스템으로부터 상기 제 1 캘리브레이션 웨이퍼의 상기 중심의 상기 수평 위치를 나타내는 정보를 획득하고; (h) 상기 로봇 암으로 하여금 상기 제 1 캘리브레이션 웨이퍼를 상기 제 1 챔버의 상기 이송 페데스탈로 제공하게 하고; (i) 상기 제 1 챔버로 하여금 상기 제 1 캘리브레이션 웨이퍼 상에서 하나 이상의 반도체 프로세싱 동작들을 수행하는 것을 포함하는 캘리브레이션 프로세스를 수행하게 하고; 그리고 (j) 상기 제 1 캘리브레이션 웨이퍼의 상기 중심의 상기 수평 위치 및 (i) 에서의 상기 캘리브레이션 프로세스의 수행으로부터 발생하는 상기 제 1 캘리브레이션 웨이퍼의 불균일을 나타내는 정보에 적어도 부분적으로 기초하여 상기 제 1 챔버의 상기 목적지 캘리브레이션 페데스탈에 대한 예비 페데스탈 오프셋 (preliminary pedestal offset) 을 획득하도록, 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
장치의 일부 구현 예들에서, 상기 하나 이상의 메모리 디바이스들은 상기 제 1 챔버의 상기 인덱서로 하여금 (h) 와 (i) 의 수행 사이에서 상기 제 1 챔버의 상기 이송 페데스탈로부터 상기 제 1 챔버의 상기 목적지 캘리브레이션 페데스탈로 상기 제 1 캘리브레이션 웨이퍼를 이동시키도록 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
장치의 일부 구현 예들에서, 상기 하나 이상의 메모리 디바이스들은 상기 제 1 챔버의 상기 목적지 캘리브레이션 페데스탈에 대한 상기 페데스탈 오프셋으로서 상기 예비 페데스탈 오프셋을 사용하도록 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
장치의 일부 구현 예들에서, 하나 이상의 메모리 디바이스들은 (k) 상기 제 1 챔버의 상기 이송 페데스탈과 연관된 상기 액티브 웨이퍼 센터링 시스템으로부터 제 2 캘리브레이션 웨이퍼의 상기 중심의 수평 위치를 나타내는 정보를 획득하고; (l) 상기 로봇 암으로 하여금 상기 제 2 캘리브레이션 웨이퍼를 상기 제 1 챔버의 상기 이송 페데스탈로 제공하게 하고; (m) 상기 제 1 챔버로 하여금 상기 제 2 캘리브레이션 웨이퍼 상에서 상기 캘리브레이션 프로세스를 수행하게 하고; 그리고 (n) 상기 제 2 캘리브레이션 웨이퍼의 불균일을 나타내는 정보, 상기 제 1 캘리브레이션 웨이퍼의 불균일을 나타내는 정보, 상기 제 1 캘리브레이션 웨이퍼의 상기 중심의 상기 수평 위치, 및 상기 제 2 캘리브레이션 웨이퍼의 상기 중심의 상기 수평 위치에 적어도 부분적으로 기초하여 상기 목적지 캘리브레이션 페데스탈에 대한 상기 페데스탈 오프셋을 결정하도록 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
장치의 일부 구현 예들에서, 하나 이상의 메모리 디바이스들은 상기 하나 이상의 메모리 디바이스들은 상기 챔버에 대한 프로세스 조건을 결정하고, 그리고 상기 프로세스 조건과 연관된 상기 제 1 챔버의 N 개의 페데스탈 오프셋들의 세트로부터 상기 제 1 챔버의 상기 목적지 페데스탈과 연관된 상기 제 1 페데스탈 오프셋을 선택함으로써 동작 (b) 를 수행하도록-상기 제 1 챔버의 N 개의 페데스탈 오프셋들의 복수의 세트들이 있으며, 각각은 상이한 프로세스 조건과 연관됨-상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
장치의 일부 구현 예들에서, 상기 제 1 챔버의 상기 N 개의 페데스탈들 중 하나는 보조 (secondary) 이송 페데스탈일 수도 있고, 상기 제 1 챔버는 상기 보조 이송 페데스탈과 연관된 보조 액티브 웨이퍼 센터링 시스템을 포함할 수도 있고, 상기 웨이퍼 핸들링 로봇은 상기 제 1 챔버의 상기 보조 이송 페데스탈로 개별 웨이퍼들을 제공하도록 구성된 부가적인 로봇 암을 갖을 수도 있고; 그리고 상기 하나 이상의 메모리 디바이스들은, (f) 부가적인 웨이퍼에 대한 상기 제 1 챔버의 목적지 페데스탈로서 상기 제 1 챔버의 상기 N 개의 페데스탈들 중 하나를 선택하고; (g) 상기 제 1 챔버의 N 개의 제 2 페데스탈 오프셋들로부터 상기 제 1 챔버의 상기 목적지 페데스탈과 연관된 대응하는 페데스탈 오프셋을 선택하고-상기 제 1 챔버의 페데스탈 오프셋 각각은 상기 제 1 챔버의 상기 N 개의 페데스탈들의 상이한 페데스탈과 연관됨-; (h) 상기 제 1 챔버의 상기 보조 액티브 웨이퍼 센터링 시스템으로부터 상기 부가적인 웨이퍼의 상기 중심의 수평 위치를 나타내는 정보를 획득하고; (i) 상기 부가적인 웨이퍼의 상기 중심의 상기 수평 위치를 나타내는 상기 제 1 챔버의 상기 보조 액티브 웨이퍼 센터링 시스템으로부터 획득된 상기 정보에 적어도 부분적으로 기초하여 상기 부가적인 웨이퍼와 연관된 제 2 엔드 이펙터 오프셋을 결정하고; 그리고 (j) 상기 부가적인 로봇 암으로 하여금 상기 페데스탈 오프셋 및 상기 제 2 엔드 이펙터 오프셋에 기초하여 상기 제 1 챔버의 보조 이송 페데스탈에 상기 부가적인 웨이퍼를 제공하도록, 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
장치의 일부 구현 예들에서, 장치는 인덱서 및 상기 인덱서의 회전 축에 센터링되는 원형 어레이의 R 개의 페데스탈들을 갖는 제 2 챔버를 더 포함할 수도 있다. 이러한 구현 예들에서, 상기 제 2 챔버의 R 개의 페데스탈들 중 하나는 상기 제 2 챔버의 이송 페데스탈일 수도 있고, 상기 제 2 챔버의 페데스탈 각각은 웨이퍼를 지지하도록 구성될 수도 있고, R은 1 보다 큰 정수일수도 있고, 상기 제 2 챔버는 상기 제 2 챔버의 상기 이송 페데스탈과 연관된 액티브 웨이퍼 센터링 시스템을 포함할 수도 있고, 그리고 상기 제 2 챔버는 멀티-스테이션 반도체 프로세싱 챔버일 수도 있다. 부가적으로, 하나 이상의 프로세서들, 하나 이상의 메모리 디바이스들, 웨이퍼 핸들링 로봇, 제 2 챔버의 인덱서, 및 제 2 챔버의 액티브 웨이퍼 센터링 시스템은 서로 동작 가능하게 연결될 수도 있고, 그리고 하나 이상의 메모리 디바이스들은 (f) 부가적인 웨이퍼를 위한 상기 제 2 챔버의 목적지 페데스탈로서 상기 제 2 챔버의 R 개의 페데스탈들 중 하나를 선택하고; (g) 상기 제 2 챔버의 R 개의 제 2 페데스탈 오프셋들의 세트로부터 상기 제 2 챔버의 목적지 페데스탈과 연관된 대응하는 제 2 페데스탈 오프셋을 선택하고-상기 제 2 챔버의 제 2 페데스탈 각각은 상기 제 2 챔버의 R 개의 페데스탈들의 상이한 페데스탈과 연관됨-; (h) 상기 부가적인 웨이퍼의 중심의 수평 위치를 나타내는 정보를 상기 제 2 챔버의 상기 액티브 웨이퍼 센터링 시스템으로부터 획득하고; (i) 상기 부가적인 웨이퍼의 상기 중심의 상기 수평 위치를 나타내는 상기 제 2 챔버의 상기 액티브 웨이퍼 센터링 시스템으로부터 획득된 상기 정보에 적어도 부분적으로 기초하여 상기 부가적인 웨이퍼와 연관된 제 2 엔드 이펙터 오프셋을 결정하고; 그리고(j) 상기 로봇 암으로 하여금 상기 제 2 페데스탈 오프셋 및 상기 제 2 엔드 이펙터 오프셋에 기초하여 상기 제 2 챔버의 상기 이송 페데스탈에 상기 부가적인 웨이퍼를 제공하도록, 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
장치의 일부 구현 예들에서, 상기 인덱서 암은 복수의 인덱서 암들을 포함할 수도 있고, 인덱서 암 각각은 일 단부에서 상기 인덱서의 중심 허브에 연결될 수도 있고 다른 단부에서 그 인덱서에 대해 대응하는 회전 축을 중심으로 회전하도록 구성된 웨이퍼 지지부를 갖고, 상기 하나 이상의 메모리 디바이스들은, (f) 제 2 웨이퍼에 대한 상기 제 1 챔버의 목적지 웨이퍼 지지부로서 상기 인덱서 암들에 의해 지지된 상기 복수의 웨이퍼 지지부들로부터 웨이퍼 지지부를 선택하고; (g) N 개의 웨이퍼 지지부 오프셋들의 세트로부터 상기 제 1 챔버의 목적지 웨이퍼 지지부와 연관된 제 1 웨이퍼 지지부 오프셋을 선택하고-웨이퍼 지지부 오프셋 각각은 상기 복수의 웨이퍼 지지부들의 상이한 웨이퍼 지지부와 연관됨-; (h) 상기 제 1 챔버의 상기 액티브 웨이퍼 센터링 시스템으로부터 상기 제 2 웨이퍼의 상기 중심의 상기 수평 위치를 나타내는 정보를 획득하고; (i) 상기 제 2 웨이퍼의 상기 중심의 상기 수평 위치를 나타내는 상기 제 1 챔버의 상기 액티브 웨이퍼 센터링 시스템으로부터 획득된 정보에 적어도 부분적으로 기초하여 상기 제 2 웨이퍼와 연관된 제 1 엔드 이펙터 오프셋을 결정하고; 그리고 (j) 상기 웨이퍼 핸들링 로봇으로 하여금 상기 제 1 웨이퍼 지지부 오프셋 및 상기 제 1 엔드 이펙터 오프셋에 적어도 부분적으로 기초하여 상기 제 1 챔버의 상기 이송 페데스탈로 상기 제 2 웨이퍼를 제공하도록, 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
일부 구현 예들에서, 인덱서 및 상기 인덱서의 회전 축에 센터링된 원형 어레이의 N 개의 페데스탈들을 갖는 제 1 챔버를 포함하는 장치가 제공될 수도 있다. 상기 제 1 챔버의 상기 N 개의 페데스탈들의 페데스탈은 이송 페데스탈일 수도 있고, 상기 제 1 챔버의 페데스탈 각각은 웨이퍼를 지지하도록 구성될 수도 있고, N은 1 보다 큰 정수일 수도 있고, 상기 제 1 챔버는 상기 이송 페데스탈과 연관된 액티브 웨이퍼 센터링 시스템을 포함할 수도 있고, 상기 제 1 챔버는 멀티-스테이션 반도체 프로세싱 챔버일 수도 있고, 그리고 상기 인덱서는 N 개의 인덱서 암들을 갖고, 인덱서 암 각각은 (i) 제 1 축을 중심으로 회전하도록 구성된 중심 허브와 연결된 근위 단부 및 (ii) 그 인덱서 암에 대해 대응하는 제 2 축을 중심으로 회전하도록 구성된 웨이퍼 지지부를 지지하는 원위 단부를 갖는다. 상기 장치는 개별 웨이퍼들을 상기 제 1 챔버의 상기 이송 페데스탈로 제공하도록 구성된 로봇 암을 갖는 웨이퍼 핸들링 로봇; 및 하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 포함하는 제어기를 더 포함할 수도 있다. 상기 하나 이상의 프로세서들, 상기 하나 이상의 메모리 디바이스들, 상기 웨이퍼 핸들링 로봇, 상기 제 1 챔버의 상기 인덱서, 및 상기 제 1 챔버의 상기 액티브 웨이퍼 센터링 시스템은 서로 동작 가능하게 연결될 수도 있고, 그리고 상기 하나 이상의 메모리 디바이스들은, (a) 제 1 웨이퍼에 대한 상기 제 1 챔버의 목적지 웨이퍼 지지부로서 상기 인덱서 암들에 의해 지지된 상기 복수의 웨이퍼 지지부들로부터 웨이퍼 지지부를 선택하고; (b) N 개의 웨이퍼 지지부 오프셋들의 세트로부터 상기 제 1 챔버의 상기 목적지 웨이퍼 지지부와 연관된 제 1 웨이퍼 지지부 오프셋을 선택하고- 웨이퍼 지지부 오프셋 각각은 상기 복수의 웨이퍼 지지부들 중 상이한 웨이퍼 지지부와 연관됨-; (c) 상기 제 1 챔버의 상기 액티브 웨이퍼 센터링 시스템으로부터 상기 제 1 웨이퍼의 상기 중심의 수평 위치를 나타내는 정보를 획득하고; (d) 상기 제 1 웨이퍼의 상기 중심의 상기 수평 위치를 나타내는 상기 제 1 챔버의 상기 액티브 웨이퍼 센터링 시스템으로부터 획득된 정보에 적어도 부분적으로 기초하여 상기 제 1 웨이퍼와 연관된 제 1 엔드 이펙터 오프셋을 결정하고; 그리고 (e) 상기 웨이퍼 핸들링 로봇으로 하여금 상기 제 1 웨이퍼 지지부 오프셋 및 상기 제 1 엔드 이펙터 오프셋에 적어도 부분적으로 기초하여 상기 제 1 챔버의 상기 이송 페데스탈로 상기 제 1 웨이퍼를 제공하게 하도록, 상기 하나 이상의 프로세서들을 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
장치의 일부 구현 예들에서, 하나 이상의 메모리 디바이스들은 상기 하나 이상의 메모리 디바이스들은, (f) (e) 후에, 상기 목적지 웨이퍼 지지부의 상기 대응하는 상기 제 2 축이 상기 제 1 웨이퍼의 상기 중심과 정렬되도록 상기 인덱서로 하여금 회전하게 하고, (g) 상기 제 1 웨이퍼로 하여금 상기 목적지 웨이퍼 지지부의 상기 제 2 축과 정렬된 상기 제 1 웨이퍼의 상기 중심을 갖는 상기 목적지 웨이퍼 지지부 상에 배치되게 하고, (h) 상기 인덱서로 하여금, 동작 (g) 후에, 상기 이송 페데스탈을 갖는 상기 제 1 챔버의 스테이션으로부터 상기 이송 페데스탈 이외의 페데스탈을 갖는 상기 제 1 챔버의 또 다른 스테이션으로 상기 제 1 웨이퍼를 이동시키도록 회전하게 하고, (i) 상기 제 1 웨이퍼로 하여금 상기 다른 스테이션의 상기 페데스탈 상에 위치되게 하고, (j) 상기 제 1 웨이퍼에 대한 상기 웨이퍼 지지부로 하여금 동작 (g) 와 동작 (i) 사이에서 상기 웨이퍼 지지부를 지지하는 인덱서 암에 대해 상기 대응하는 제 2 축을 중심으로 회전하게 하고, (k) 상기 제 1 웨이퍼가 상기 다른 스테이션의 상기 페데스탈 상에 있는 동안 상기 제 1 챔버로 하여금 상기 제 1 웨이퍼에 상에 하나 이상의 반도체 프로세싱 동작들을 수행하게 하도록, 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
장치의 일부 구현 예들에서, 하나 이상의 메모리 디바이스들은 상기 하나 이상의 메모리 디바이스들은, 제 2 웨이퍼 내지 제 N 웨이퍼의 웨이퍼 각각에 대해, (f) 상기 웨이퍼에 대한 상기 제 1 챔버의 목적지 웨이퍼 지지부로서 상기 인덱서 암들에 의해 지지된 상기 복수의 웨이퍼 지지부들로부터 웨이퍼 지지부를 선택하고; (g) 상기 N 개의 웨이퍼 지지부 오프셋들의 세트로부터 상기 웨이퍼에 대한 상기 제 1 챔버의 상기 목적지 웨이퍼 지지부와 연관된 대응하는 웨이퍼 지지부 오프셋을 선택하고; (h) 상기 제 1 챔버의 상기 액티브 웨이퍼 센터링 시스템으로부터 상기 웨이퍼의 중심의 수평 위치를 나타내는 정보를 획득하고; (i) 상기 웨이퍼의 상기 중심의 상기 수평 위치를 나타내는 상기 제 1 챔버의 상기 액티브 웨이퍼 센터링 시스템으로부터 획득된 정보에 적어도 부분적으로 기초하여 상기 웨이퍼에 대한 대응하는 엔드 이펙터 오프셋을 결정하고; (j) 상기 웨이퍼 핸들링 로봇으로 하여금 상기 웨이퍼에 대한 상기 대응하는 웨이퍼 지지부 오프셋 및 상기 웨이퍼에 대한 상기 대응하는 엔드 이펙터 오프셋에 적어도 부분적으로 기초하여 상기 제 1 챔버의 상기 이송 페데스탈로 상기 웨이퍼를 제공하게 하고; (k) 동작 (j) 후에, 상기 웨이퍼에 대한 상기 목적지 웨이퍼 지지부의 상기 대응하는 제 2 축이 상기 웨이퍼의 중심과 정렬되도록 상기 인덱서로 하여금 회전하게 하고; 그리고(l) 상기 웨이퍼로 하여금 상기 웨이퍼에 대한 상기 목적지 웨이퍼 지지부의 상기 제 2 축과 정렬된 상기 웨이퍼의 상기 중심을 갖는 상기 웨이퍼에 대한 상기 목적지 웨이퍼 지지부 상에 배치되게 하도록, 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
장치의 일부 구현 예들에서, 상기 제 1 챔버의 페데스탈 각각은 상기 제 1 챔버의 대응하는 스테이션과 연관되고, 그리고 상기 하나 이상의 메모리 디바이스들은, (m) 동작 (l) 후에, 상기 인덱서로 하여금 상기 N 개의 웨이퍼들 각각을 상기 N 개의 웨이퍼들 각각이 동작 (m) 이전에 있던 상기 제 1 챔버의 상기 스테이션으로부터 상기 N 개의 웨이퍼들 중 다른 하나가 동작 (m) 이전에 있던 상기 제 1 챔버의 스테이션으로 일제히 이동시키고; (n) 상기 N 개의 웨이퍼들 각각으로 하여금 상기 웨이퍼가 상기 동작 (m) 에서 이동된 상기 스테이션의 상기 페데스탈 상에 배치되게 하고; (o) 상기 동작 (l) 과 상기 동작 (n) 사이에서, 상기 웨이퍼들 각각에 대한 상기 목적지 웨이퍼 지지부로 하여금 상기 목적지 웨이퍼 지지부를 지지하는 상기 인덱서 암에 대해 상기 목적지 웨이퍼 지지부의 상기 제 2 축을 중심으로 상기 대응하는 웨이퍼를 회전시키게 하고; 그리고 (p) 상기 제 1 챔버로 하여금 상기 동작 (n) 후에 상기 N 개의 웨이퍼들 상에서 하나 이상의 프로세싱 동작들을 수행하게 하도록, 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
장치의 일부 구현 예들에서, 상기 하나 이상의 메모리 디바이스들은, 상기 동작 (l) 내지 상기 동작 (p) 를 1 회 이상 반복하도록 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
장치의 일부 구현 예들에서, 하나 이상의 메모리 디바이스들은 상기 동작 (l) 내지 상기 동작 (p) 를 N 회 이상 반복하도록, 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
장치의 일부 구현 예들에서, 하나 이상의 메모리 디바이스들은 (f) 상기 N 개의 웨이퍼 지지부들 중 하나를 제 1 캘리브레이션 웨이퍼에 대한 상기 제 1 챔버의 목적지 캘리브레이션 웨이퍼 지지부로서 선택하고; (g) 상기 액티브 웨이퍼 센터링 시스템으로부터 상기 제 1 캘리브레이션 웨이퍼의 상기 중심의 상기 수평 위치를 나타내는 정보를 획득하고; (h) 상기 로봇 암으로 하여금 상기 제 1 캘리브레이션 웨이퍼를 상기 제 1 챔버의 상기 이송 페데스탈로 제공하게 하고; i) 상기 목적지 캘리브레이션 웨이퍼 지지부가 상기 제 1 캘리브레이션 웨이퍼 아래에 위치되도록 상기 인덱서로 하여금 회전하게 하고; (j) 상기 제 1 캘리브레이션 웨이퍼로 하여금 상기 목적지 캘리브레이션 웨이퍼 지지부 상에 배치되게 하고; k) 상기 목적지 캘리브레이션 웨이퍼 지지부 및 이에 의해 지지된 상기 제 1 캘리브레이션 웨이퍼로 하여금 제 1 각도량 만큼 제 1 방향으로 상기 대응하는 제 2 축을 중심으로 회전하게 하고; (l) 상기 로봇 암으로 하여금 상기 제 1 챔버로부터 상기 제 1 캘리브레이션 웨이퍼를 회수하게 하고; (m) 상기 제 1 캘리브레이션 웨이퍼의 상기 중심의 업데이트된 수평 위치를 나타내는 정보를 상기 액티브 웨이퍼 센터링 시스템으로부터 획득하고; (n) 상기 제 1 캘리브레이션 웨이퍼의 상기 중심의 상기 수평 위치, 상기 제 1 캘리브레이션 웨이퍼의 상기 중심의 상기 업데이트된 수평 위치, 상기 제 1 각도량, 및 상기 제 1 방향에 적어도 부분적으로 기초하여 상기 목적지 캘리브레이션 웨이퍼 지지부에 대한 웨이퍼 지지부 오프셋을 결정하고; 그리고 (o) 상기 목적지 캘리브레이션 웨이퍼 지지부인 웨이퍼 지지부와 연관된 상기 목적지 캘리브레이션 웨이퍼 지지부에 대한 상기 웨이퍼 지지부 오프셋을 데이터 구조에 저장하도록, 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
장치의 일부 구현 예들에서, 하나 이상의 메모리 디바이스들은 반복 각각 동안 목적지 캘리브레이션 웨이퍼 지지부로서 웨이퍼 지지부들의 상이한 지지부를 사용하여 상기 동작 (f) 내지 상기 동작 (o) 를 1 회 내지 N 회 반복하도록 상기 하나 이상의 프로세서들을 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다.
본 명세서에 개시된 다양한 구현 예들은 유사한 참조 번호들이 유사한 엘리먼트들을 지칭하는 첨부된 도면들의 도면들에서 제한이 아니라 예로서 예시된다.
도 1은 몇몇 상이한 일반적인 타입들의 단일-챔버, 멀티-스테이션 프로세싱 기법들을 도시한다.
도 2는 웨이퍼 지지부들을 지지하는 인덱서 암들에 대해 회전 가능하도록 구성된 웨이퍼 지지부들을 특징으로 하는 인덱서를 도시한다.
도 3-1 내지 도 3-5는 일시적인 웨이퍼 이송의 다양한 스테이지들 동안의 예시적인 이송 페데스탈, 인덱서 암, 및 웨이퍼 핸들링 로봇의 측면도들을 도시한다.
도 4는 캘리브레이션 (calibration) 프로세스에 따른 웨이퍼 에지 두께의 예를 도시한다.
도 5는 이러한 멀티-스테이션 페데스탈 오프셋 결정 기법의 흐름도를 도시한다.
도 6은 정적 모드의 프로세싱을 위한 준비시 멀티-스테이션 챔버 내에 웨이퍼들을 배치하기 위한 기법에 대한 흐름도를 도시한다.
도 7은 오프셋 조건의 페데스탈들 및 예시된 페데스탈 오프셋들을 갖는 멀티-스테이션 챔버의 예를 도시한다.
도 8은 특정한 프로세스 조건에서 멀티-스테이션 챔버를 사용하여 웨이퍼 지지부 오프셋들을 결정하기 위한 기법의 흐름도를 도시한다.
도 9 내지 도 12는 캘리브레이션 웨이퍼가 웨이퍼 지지부의 회전 축 상에 센터링되는 방식으로 배치될 때 상기 기법의 다양한 상태들 동안의 캘리브레이션 웨이퍼를 도시한다.
도 13 내지 도 16은 캘리브레이션 웨이퍼가 웨이퍼 지지부의 회전 축 상에 센터링되지 않게 하는 방식으로 배치될 때 상기 기법의 다양한 상태들 동안의 캘리브레이션 웨이퍼를 도시한다.
도 17은 이러한 모드를 사용한 프로세싱을 위한 준비시 웨이퍼들을 로딩하기 위한 예시적인 기법을 도시한다.
도 18 내지 도 21은 이러한 시나리오들과 연관된 대표적인 웨이퍼 균일도 데이터와 함께 다양한 프로세싱 모드 시나리오들의 챔버를 도시한다.
도 22는 멀티-챔버 툴의 예를 도시한다.
본 명세서의 도면들은 일반적으로 축척대로 도시되지 않지만, 예를 들어 이하에 논의된 바와 같이 도면들의 다양한 양태들이 축척대로 도시될 수도 있다.
본 명세서에 논의된 웨이퍼 배치 및 웨이퍼 배치 보정 기법들 및 장치들은 여러 가지의 상이한 단일-챔버, 멀티-스테이션 프로세싱 기법들에 광범위하게 적용 가능하다. 도 1은 몇몇 상이한 일반적인 타입들의 단일-챔버, 멀티-스테이션 프로세싱 기법들을 도시한다.
도 1에서, 4-스테이션 반도체 프로세싱 챔버 (102) 는 다양한 멀티-스테이션 프로세싱 기법들에 따른 반도체 프로세싱 동작들의 다양한 세트들 동안 도시된다. 도 1에는 4 개의 상이한 기법들 (각각 상이한 행으로 표현됨) 이 도시되고, 각각은 반도체 프로세싱 동작들의 4 개 세트들 (세트 각각은 상이한 열로 표현됨) 을 갖는다. 기법 각각에서, 4 개의 웨이퍼 A, 웨이퍼 B, 웨이퍼 C, 및 웨이퍼 D은 처음에 동일한 위치들 및 배향들로 챔버 (102) 내로 로딩된다 (웨이퍼 각각의 배향은 웨이퍼의 외측 둘레를 따라 위치된 작은 삼각형으로 나타낸다). 인덱서 (미도시) 는 웨이퍼들을 그들의 각각의 초기 페데스탈들 상에 포지셔닝하고 (일부 기법들에서) 프로세싱 동작들의 세트들 사이에서 페데스탈로부터 페데스탈로 웨이퍼들을 이동시키도록 사용될 수도 있다.
통상적인 멀티-스테이션 챔버 동작들을 나타내는 제 1 기법에서, 챔버에 대한 인덱서는 반도체 프로세싱 동작들의 세트 각각 사이에 회전 시퀀스 (rotational sequence) 로 웨이퍼들을 그들의 각각의 스테이션들로부터 다음 스테이션들로 동시에 이동할 수도 있고; 이 기법은 본 명세서에서 "전통적인 인덱싱 모드"로 지칭될 수도 있다. 따라서, 웨이퍼 A는 프로세싱 동작들의 제 1 세트를 위해 하부 좌측 코너 페데스탈/스테이션에 배치될 수 있고 그리고 이어서 프로세싱 동작들의 제 2 세트를 위해 상부 좌측 코너 페데스탈/스테이션으로, 이어서 프로세싱 동작들의 제 3 세트를 위해 상부 우측 코너 페데스탈/스테이션으로, 및 이어서 프로세싱 동작들의 제 4 세트를 위해 하부 우측 코너 페데스탈/스테이션으로 이동될 수도 있다. 웨이퍼들 B, C, 및 D는 스테이션으로부터 스테이션으로 유사하게 이동될 수도 있지만, 각각은 상이한 초기 스테이션/페데스탈에서/상에서 시작할 수도 있다. 인덱서는, 스테이션으로부터 인접한 스테이션으로 웨이퍼 각각을 이동시킬 때, 또한 웨이퍼 각각이 90 °만큼 회전하게 하기 때문에, 전체 챔버에 대한 웨이퍼 각각의 배향은 또한 페데스탈로부터 인접한 스테이션으로의 웨이퍼들의 이동 각각과 함께 90 °만큼 회전할 것이다. 그 결과, 인덱서의 회전 축을 중심으로 하는 방사상 좌표계의 관점으로부터, 스테이션으로부터 스테이션으로 이동될 때 웨이퍼 각각의 배향은 변화하지 않는다. 따라서, 웨이퍼 각각의 동일한 에지는 웨이퍼가 내부에/위에 위치되는 스테이션/페데스탈에 관계없이 챔버의 중심 (및 인덱서 회전 축) 에 가장 가까울 수도 있다. 챔버 중심에 대해 방사상으로 나타나는 프로세싱 불균일이 있다면, 이는 웨이퍼들이 스테이션/페데스탈 각각에서 반복될 유사한 방사상 불균일 패턴의 전개를 발생시킬 것이고, 이에 따라 불균일을 악화시킬 것이다. 전통적인 인덱싱 접근법은 예를 들어, 멀티-스테이션 챔버의 내의 스테이션 각각이 상이한 프로세싱 동작들의 세트를 수행하도록 구성될 때 사용될 수도 있다.
제 2 기법은 사실상 4 개의 개별 (discrete) 프로세싱 챔버들로서 멀티-스테이션 챔버를 사용하는 것을 수반한다. 웨이퍼 A, B, C, 및 D 각각은 인덱서를 사용하여 각각의 페데스탈 상에 로딩될 수도 있고, 이어서 제 1, 제 2, 제 3, 및 제 4 세트들의 동작들의 지속 기간 동안 이들 각각의 페데스탈들 상에 남아있을 수도 있다. 웨이퍼 각각의 배향들 또는 위치들은 프로세싱 동작들의 세트 각각 사이에서 변화하지 않고; 이 동작 모드는 본 명세서에서 "정적 모드"로 지칭될 수도 있다.
제 3 기법은 제 2 기법과 유사하지만, 웨이퍼 각각은 프로세싱 동작들의 세트 각각 사이에서 내부로/위로 웨이퍼가 배치되는 스테이션/페데스탈에 대해 회전된다; 그러나, 웨이퍼들은 프로세싱 동작들의 세트 각각 사이에서 스테이션으로부터 스테이션으로 이동되지 않는다. 제 3 기법은 예를 들어, 프로세싱 동작들의 세트 각각 사이에서 전체 챔버에 대해 90 °만큼, 웨이퍼 각각을 회전하게 하고, 이에 따라 웨이퍼들이 제 1 세트, 제 2 세트, 제 3 세트 및 제 4 세트의 프로세싱 동작들을 사용하여 프로세싱된 후, 웨이퍼들 상에서 전개될 수도 있는 임의의 방사상 불균일들이 평균화되게 할 수도 있다. 이 제 3 기법은 본 명세서에서 "정적 제자리 (static in-place) 회전 모드"로 지칭될 수도 있다.
제 4 기법에서, 웨이퍼 각각은 프로세싱 동작들의 세트 각각 사이에서 그의 각각의 페데스탈로부터 다음 인접한 페데스탈로 이송될뿐만 아니라, 또한 프로세싱 동작들의 세트 각각 사이에서 (인덱서의 회전을 통해 스테이션으로부터 스테이션으로 웨이퍼들의 이동에 의해 제공된 회전을 넘어서) 부가적으로 회전된다. 예를 들어, 웨이퍼 각각은 인덱서의 회전을 통해 페데스탈로부터 페데스탈로 이동시 90 ° 회전될 수도 있고, 웨이퍼 각각의 상이한 에지 사분면 (edge quadrant) 이 반도체 프로세싱 동작들의 세트 각각에 대한 인덱서 회전 축에 가장 가깝도록 (시계 방향 또는 반 시계 방향으로) 추가로 90 ° 회전될 수도 있다. 이 모드는 본 명세서에서 "인덱싱된 제자리 (indexed in-place) 회전 모드"로 지칭될 수도 있다.
본 명세서에 논의된 기법들 및 상기 제공된 예들은 4 개의 스테이션들/페데스탈들을 갖는 멀티-스테이션 챔버들에 초점을 맞추지만, 본 명세서에 논의된 기법들 및 장치들은 또한, 2-스테이션, 3-스테이션, 5-스테이션, 6-스테이션 및 6-스테이션 초과 챔버들을 포함한, 보다 많거나 보다 적은 스테이션들/페데스탈들을 갖는 멀티-스테이션 챔버들에서 구현될 수도 있다는 것이 이해될 것이다. 그러나, 4-스테이션 챔버들은 웨이퍼 당 플로어 공간의 가장 경제적인 사용을 제공하고 따라서 본 명세서의 예들의 초점이기 때문에, 가장 일반적인 타입의 회전 인덱싱된 (rotationally indexed) 멀티-스테이션 챔버이다.
정적 모드 및 전통적인 인덱싱 모드는 모두 전통적인 인덱서, 즉, 사실상 스테이션에서 스테이션으로 웨이퍼들을 이동시키기 위해 인덱서의 회전 축을 중심으로 인덱서 암들을 일제히 회전시키는 것 이상을 하지 않도록 구성된 인덱서를 갖는 멀티-스테이션 챔버들 내에서 실시될 수도 있다. 그러나, 정적 제자리 회전 모드 및 인덱싱된 제자리 회전 모드는 스테이션으로부터 스테이션으로 웨이퍼를 이동시킬 필요없이 웨이퍼 각각을 그의 중심 축을 중심으로 회전시키기 위한 메커니즘을 부가적으로 필요로 한다. 이러한 메커니즘은, 예를 들어, 부가적인 회전 축들을 갖는 인덱서, 예를 들어, 웨이퍼 지지부 각각이 이러한 웨이퍼 지지부를 지지하는 인덱서 암에 대해 대응하는 회전 축을 중심으로 회전하도록 유발될 수 있는 인덱서를 사용하여, 또는, 예를 들어, 트위스트 패드 또는 유사한 디바이스와 같이 위에 제자리 배치된 웨이퍼를 회전시키기 위한 메커니즘을 제공하는 페데스탈을 사용하여, 제공될 수도 있다. 따라서, 제자리 회전 모드를 수행하도록 구성된 멀티-스테이션 챔버는 일반적으로 또한, 스테이션으로부터 스테이션으로 웨이퍼를 이동시키지 않고, 단순히 웨이퍼들이 그들 자체 중심 축들을 중심으로 회전되게 하는 메커니즘들을 사용하지 않음으로써 또한 정적 모드 또는 전통적인 인덱싱 모드를 수행하도록 구성될 수 있다. 본 명세서에 논의된 바와 같이 멀티-스테이션 챔버를 포함하는 특정한 반도체 프로세싱 툴은 어느 모드가 선택되는지에 따라, 상기 논의된 모든 모드들을 수행하도록 구성될 수도 있고, 또는 이러한 모드들의 서브 세트를 수행할 수 있도록 구성될 수도 있다. 예를 들어, "전통적인"인덱서, 예를 들어 인덱서 암들에 대해 웨이퍼들을 그들 자체 축들을 중심으로 회전시키는 능력을 소유하지 않는 (그리고 그렇지 않으면, 스테이션들 사이에서 웨이퍼들을 반드시 이동시키지 않고 웨이퍼들을 그들 자체 중심들을 중심으로 회전할 수 있는 능력을 가지지 않은 ) 인덱서를 가진 멀티-스테이션 챔버를 갖는 반도체 프로세싱 툴은 단순히 정적 제자리 회전 모드 또는 인덱싱된 제자리 회전 모드를 수행하도록 사용될 수 없다.
부가적인 축들을 갖는 인덱서는, 예를 들어, 웨이퍼 지지부들을 지지하는 인덱서 암들에 대해 회전 가능하도록 구성된 웨이퍼 지지부들을 특징으로 하는 본 개시의 양수인에 의해 최근에 개발된 새로운 타입의 인덱서일 수도 있다. 도 2는 이러한 인덱서의 예를 도시한다. 알 수 있는 바와 같이, 인덱서 (214) 는 중심 허브 (220) 둘레에 원형으로 배치된 복수의 인덱서 암들 (216) 을 갖고, 인덱서 암 (216) 각각은 웨이퍼 지지부 (228) 로 하여금 웨이퍼 지지부 (228) 에 의해 지지된 웨이퍼의 중심과 정렬하도록 포지셔닝된 회전 축 (230) 을 중심으로 인덱서 암 (216) 에 대해 회전되게 하는 베어링으로 그에 연결된 웨이퍼 지지부 (228)을 갖는다. (웨이퍼는 웨이퍼 지지부 (228) 상에 완벽하게 배치된다고 가정한다).
일부 이러한 인덱서들에서, 웨이퍼 지지부 (228) 각각은 타이로드 (222) 에 의해 인덱서의 회전 축 (226) 을 중심으로 회전하도록 구성된 액추에이터 허브 (218) 에 연결된다; 액추에이터 허브 (218) 는 인덱서 암들 (216) 이 부착되는 인덱서 (214) 의 중심 허브 (220) 와 독립적으로 인덱서 (214) 의 회전 축 (226) 을 중심으로 회전될 수 있다. 타이로드들 (222), 회전 가능한 웨이퍼 지지부들 (228), 액추에이터 허브 (218), 및 인덱서 암들 (216) 은 액추에이터 허브 (218) 가 중심 허브 (220) 에 대해 회전될 때 회전 가능한 웨이퍼 지지부들 (228) 로 하여금 각각의 인덱서 암들 (216) 에 대해 일제히 회전하게 하는 4-바 링키지들 (four-bar linkages) 의 세트들을 형성한다. 그러나, 액추에이터 허브 (218) 및 중심 허브 (220) 모두가 일제히 회전될 때, 인덱서 (214) 는 전통적인 인덱서와 같이 거동할 것이고, 즉, 전통적인 인덱서에 의해 제공된 것 이상으로 더 회전하지 않고 웨이퍼들을 스테이션으로부터 스테이션으로 이동시킬 것이다. 인덱서의 중심 회전 축을 넘는 부가적인 회전 축들, 예를 들어, 웨이퍼 지지부들을 위한 별도의 회전 축들을 갖는 인덱서가 2018 년 10 월 23 일 허여된 미국 특허 제 10,109,517 호에 깊이 논의되며, 그의 전체가 참조로서 본 명세서에 인용된다.
본 명세서에 논의된 웨이퍼 배치 보정 기법들은 특히 정적 모드, 정적 제자리 회전 모드, 및 인덱싱된 제자리 회전 모드의 맥락에서 적용 가능하다.
일반적으로 말하면, 웨이퍼가 반도체 프로세싱 챔버 내로 배치될 때, 웨이퍼를 수용하는 페데스탈 상에 센터링되는 위치에 웨이퍼를 배치하는 것이 통상적으로 바람직하다. 반도체 프로세싱 챔버들의 외부 위치들로부터 반도체 프로세싱 챔버들 내로 웨이퍼들을 이송하도록 사용되는 웨이퍼 핸들링 로봇들은 통상적으로 챔버 셋업 프로세스의 일부로서 반도체 프로세싱 챔버가 설치된 후 "트레이닝"된다. 트레이닝 동안, 웨이퍼 핸들링 로봇은 웨이퍼 핸들링 로봇이 지지하도록 설계되는 웨이퍼를 대신할 수도 있는 캘리브레이션 픽스처 (calibration fixture) 를 구비할 수도 있다. 캘리브레이션 픽스처는 예를 들어, 웨이퍼들을 이송하기 위해 일반적으로 사용되는 웨이퍼 핸들링 로봇의 엔드 이펙터에 부착될 수도 있다. 캘리브레이션 픽스처는 웨이퍼 핸들링 로봇으로 하여금 이송 페데스탈에 대해 특정한 위치로 수동으로 (또는 자동으로) 이동되게 하도록 프로세싱 챔버의 이송 페데스탈 상의 피처들과 (또는 그에 부착될 수도 있는 또 다른 픽스처와) 인터페이싱하도록 설계될 수도 있다; 용어들 "이송 페데스탈"또는 "이송 스테이션"은 프로세싱 챔버 내로 도입된 웨이퍼가 도입된 후 만나게 될 제 1 스테이션 또는 페데스탈로서 역할을 하도록 포지셔닝된 프로세싱 챔버의 스테이션 또는 페데스탈을 지칭하도록 본 명세서에서 사용된다. 이송 페데스탈 "상"에 웨이퍼를 배치하는 것에 대한 참조가 본 명세서에서 이루어질 때, 이러한 배치는 예를 들어, 반도체 프로세싱 동작 동안과 같이, 웨이퍼가 페데스탈 상단 표면 상에 직접 놓이는 웨이퍼 배치를 포함할 수도 있지만, 웨이퍼가 페데스탈의 리프트 핀들에 의해 공기 중에 지지될 수도 있지만, 예를 들어, 웨이퍼가 이송 페데스탈 상에 배치되고 이어서 이러한 배치 후 거의 즉시 인덱서에 의해 페데스탈로부터 또 다른 페데스탈로 이동되는 경우와 같이, 페데스탈 상단 표면 상으로 실제로 하강되지 않을 수도 있는 "일시적인 배치"를 포함할 수도 있다. 이송 페데스탈은 또한 예를 들어, 웨이퍼 핸들링 로봇에 의해 프로세싱 챔버로부터 제거되기 전에 프로세싱 챔버 내로 도입된 웨이퍼가 있을 마지막 스테이션 또는 페데스탈로서 역할을 할 수도 있다는 것이 이해될 것이다.
도 3-1 내지 도 3-5는 일시적인 웨이퍼 이송의 다양한 스테이지들 동안의 예시적인 이송 페데스탈, 인덱서 암, 및 웨이퍼 핸들링 로봇의 측면도들을 도시한다. 도 3-1에서, 웨이퍼 (336) 는 웨이퍼 핸들링 로봇의 로봇 암 (348) 의 엔드 이펙터 (350) 에 의해 지지된다. 웨이퍼 (336) 는 이송 페데스탈 (310) 위에 포지셔닝되었고, 원위 단부에 위치된 웨이퍼 지지부 (328) 를 갖는 인덱서 암 (316) 이 이송 페데스탈 (310) 근방에 포지셔닝된다. 이송 페데스탈 (310) 은 로봇 암 (348) 이 엔드 이펙터 (350) 및 웨이퍼 (336) 를 이송 페데스탈 (310) 위의 위치로 이동시킬 때 엔드 이펙터 (350) 및/또는 웨이퍼 (336) 와 잠재적으로 충돌하는 것을 방지하기 위해 후퇴될 수도 있는 리프트 핀들 (308) 을 가질 수도 있다.
도 3-2에서, 리프트 핀들 (308) 은 엔드 이펙터 (350) 없이 (clear of) 웨이퍼 (336) 를 들어올리도록 이송 페데스탈 (310) 로부터 연장되어, 로봇 암 (348) 으로 하여금 웨이퍼 (336) 를 이송 페데스탈 (310) 로 제공하게 한다. 도 3-3에서, 로봇 암 (348) 은 웨이퍼 (336) 아래로부터 엔드 이펙터 (350) 를 인출하였다.
도 3-4에서, 인덱서 암 (316) 은 웨이퍼 지지부 (328) 로 하여금 웨이퍼 (336) 아래에 포지셔닝되게 하도록 회전될 수도 있다. 마지막으로, 도 3 내지 5에서, 리프트 핀들 (308) 은 하강될 수도 있고 웨이퍼 (336) 는 인덱서 암 (316) 의 웨이퍼 지지부 (328) 상에 배치될 수도 있다. 일부 구현 예들에서, 웨이퍼 (336) 는 또한 웨이퍼 지지부 (328) 로 이송되기 전에 리프트 핀들 (308) 에 대해 고정된 이송 페데스탈 (310) 의 상부 표면과 직접적으로 콘택트하도록 하강될 수도 있다는 것이 이해될 것이다. 어느 시나리오 든 본 명세서에서 이송 페데스탈에 웨이퍼를 제공하도록 고려된다.
대부분의 멀티-스테이션 챔버들에서, 챔버의 스테이션들/페데스탈들 중 하나 또는 두 개만이 이송 페데스탈이고, 나머지 페데스탈들은 인덱서의 동작을 통해, 즉 챔버의 이송 스테이션에 배치된 다음 인덱서의 동작을 통해 최종 목적지 페데스탈로 이동됨으로써만 그들 위에 배치된 웨이퍼들을 가질 수 있다.
트레이닝 동안, 캘리브레이션 픽스처는 캘리브레이션 픽스처와 이송 페데스탈 (또는 거기에 장착된 또 다른 픽스처) 사이의 콘택트를 통해 제자리에 기계적으로 고정될 수도 있다. 웨이퍼 핸들링 로봇이 바람직한 것으로 간주되는 페데스탈에 대한 위치, 예를 들어, 페데스탈 상에 센터링된 웨이퍼를 배치 할 때 웨이퍼 핸들링 로봇이 있을 위치에 일단 배치되면, 웨이퍼 핸들링 로봇은 그 위치가 "티칭될 (be taught)" 수 있고, 예를 들어, 웨이퍼 핸들링 로봇은 특정한 위치, 예를 들어, "페데스탈 중심"과 연관하여 그 위치의 좌표들 (또는 예를 들어, 로봇 암 내의 링크 각각의 다양한 각도 위치들) 을 저장하도록 유발될 수도 있다. 이는 웨이퍼 핸들링 로봇으로 하여금 웨이퍼 핸들링 로봇이 동작하는 좌표계에 대해 페데스탈이 어디에 포지셔닝되는지를 배우게 하여, 웨이퍼 핸들링 로봇으로 하여금 페데스탈에 대해 웨이퍼들을 정확하게 배치하게 한다.
웨이퍼 핸들링 로봇들을 가르치기 위해 사용된 캘리브레이션 픽스처는 웨이퍼 핸들링 로봇의 엔드 이펙터에 대해 제자리에 고정될 수도 있고 따라서 웨이퍼 핸들링 로봇의 엔드 이펙터에 대해 일관되게 배치될 수도 있지만, 웨이퍼 핸들링 로봇 엔드 이펙터 상에 배치되는 실제 웨이퍼들은 캘리브레이션 픽스처를 제자리에 유지하고 엔드 이펙터에 대해 정확하게 위치되도록 사용된 기계적 픽스처로부터 이익을 얻지 못한다. 실제로, 이러한 웨이퍼들은 엔드 이펙터에 대해 일부 변동을 갖고 웨이퍼 핸들링 로봇 상에 배치될 수도 있다. 따라서, 웨이퍼가 "티칭된 (taught)" 위치에서 페데스탈 중심 위에 센터링되는 엔드 이펙터의 위치에 센터링되지 않으면, 웨이퍼 핸들링 로봇이 티팅된 위치로 복귀하도록 지시될 때 웨이퍼는 유사하게 페데스탈 센터에 센터링되지 않을 것이다.
엔드 이펙터에 대한 웨이퍼의 배치의 이러한 변동을 설명하기 위해, 반도체 프로세싱 챔버들은 종종 액티브 웨이퍼 센터링 (active wafer centering; AWC) 시스템들을 구비한다. 이러한 시스템들은 통상적으로 프로세싱 동작들 동안 챔버 내 환경이 이러한 센서들을 파괴하거나 그렇지 않으면 이들의 동작을 방해할 수도 있기 때문에 챔버 외부에 위치된다. 대부분의 시스템들에서, AWC는 웨이퍼 핸들링 로봇에 의해 챔버 내로 배치된 웨이퍼들이 챔버를 들어가거나 나갈 때 AWC 시스템과 상호 작용하도록 챔버를 위한 로드 포트 위에 및/또는 아래에 장착된다. 통상적인 AWC 시스템은 광학 빔을 수직 방향으로 지향시키기 위한 광학 이미터 및 광학 빔을 검출하도록 포지셔닝된 광학 센서로 각각 구성된 복수의 광학 빔 센서들을 활용한다. 광학 빔들 중 하나가 광학 빔을 통과하는 객체, 예를 들어, 웨이퍼에 의해 파괴될 때, 광학 센서는 빔 파괴를 검출한다. AWC 시스템은 웨이퍼 핸들링 로봇의 센서들에 의해 제공된 로봇 암 위치 인식과 함께, 웨이퍼의 중심이 특정한 기준 프레임에 대해 어디에 위치되는 위치에 대한 결정을 허용한다.
예를 들어, 웨이퍼 핸들링 로봇이 트레이닝된 후, 웨이퍼를 챔버로부터 그리고 AWC 시스템 (이 예에서 2 개의 광학 빔 센서들을 갖는 AWC로 가정되지만, 다른 타입들의 AWC 시스템들 또한 사용될 수 있다) 을 통해 특정한 경로를 따라 후퇴하도록 유발될 수도 있다; 웨이퍼가 AWC 시스템을 통과 할 때, 4 개의 광학 빔 이벤트들이 발생할 것이다-2 개의 광학 센서들 각각에 대해, 광학 빔 이벤트는 웨이퍼의 에지가 먼저 광학 센서의 광학 빔을 차단할 때 발생할 것이고 그리고 또 다른 광학 이벤트는 웨이퍼의 에지가 광학 빔의 차단을 중단할 때 발생할 것이다. 웨이퍼 핸들링 로봇의 엔드 이펙터와 연관된 기준 지점, 예를 들어, 엔드 이펙터 상에 이상적으로 배치되는 웨이퍼의 중심이 위치될 위치와 정렬되는 기준 지점 (엔드 이펙터에 대하여 공간에 고정되게 남아있는 임의의 기준 지점이 사용될 수도 있음에도 불구하고) 의 수평 (XY) 위치는 이러한 광학 빔 이벤트 각각에 대해 획득될 수도 있고, 이에 따라 웨이퍼의 직경 및 광학 빔 센서들 사이의 간격과 함께, AWC 시스템을 통해 웨이퍼를 통과할 때 웨이퍼 핸들링 로봇이 이어지는 특정한 경로와 연관된 웨이퍼 중심 위치를 결정하도록 사용될 수 있는, XY 좌표들의 세트를 제공할 수도 있다. 이러한 웨이퍼 중심 결정이 예를 들어 (웨이퍼와 같이 성형될 수도 있고 웨이퍼의 동일한 직경을 가질 수도 있는) 캘리브레이션 픽스처를 사용하여 수행될 때, 이는 미래의 웨이퍼들이 배치 동작들 동안 페데스탈 상에 정확하게 배치되는, 예를 들어, 센터링되는 것을 보장하도록 웨이퍼 핸들링 로봇에 의해 사용될 수도 있는 2 개의 좌표들을 웨이퍼 핸들링 로봇에 제공한다. 요컨대, 웨이퍼 핸들링 로봇은 위치 센서들을 통해, (예를 들어, 캘리브레이션 픽스처를 사용하여 이 위치가 티칭된) 페데스탈 상의 웨이퍼의 중심 배치와 연관된 엔드 이펙터의 위치, AWC 시스템을 통한 캘리브레이션 픽스처의 통과로부터 결정된 XY 웨이퍼 중심과 연관된 엔드 이펙터의 위치, 및 양쪽 위치들 사이에서 웨이퍼를 이동시키도록 취해진 이동들을 안다. 따라서 웨이퍼가 웨이퍼 핸들링 로봇의 엔드 이펙터에 완벽하게 배치된 것으로 간주되는 위치, 즉 웨이퍼가 있어야 할 위치에 센터링되면, AWC 시스템은 교캘리브레이션 픽스처에 대해 획득된 특정한 경로를 따라 AWC 시스템을 통과할 때 해당 웨이퍼에 대해 동일한 XY 웨이퍼 중심 측정 값을 제공해야 한다. 이어서 웨이퍼 핸들링 로봇은 웨이퍼를 페데스탈에 제공하도록 페데스탈 배치 동작에 대해 티칭된 것과 정확히 동일한 XY 위치로 이동할 수 있고, 이에 따라 웨이퍼는 페데스탈 상에 센터링될 것이다.
그러나 웨이퍼가 엔드 이펙터 상에 완벽하게 배치되지 않으면, AWC 시스템은 웨이퍼가 캘리브레이션 픽스처와 함게 사용된 경로를 따라 AWC 시스템을 통과할 때 캘리브레이션 픽스처와 연관된 XY 웨이퍼 중심과 비교하여 웨이퍼에 대해 상이한 XY 웨이퍼 중심 측정 값을 제공할 것이다. 웨이퍼 핸들링 로봇이 캘리브레이션 픽스처와 함께 사용된 동일한 경로를 따라 웨이퍼를 이동시키면, 웨이퍼 핸들링 로봇이 웨이퍼를 페데스탈에 제공할 때 웨이퍼의 중심은 목표된 페데스탈 중심에 대해 유사하게 변위될 것이다. 이러한 변위를 보정하기 위해, 웨이퍼 핸들링 로봇은 2 개의 AWC-측정된 웨이퍼 중심 지점들, 즉, 캘리브레이팅된 웨이퍼 중심 지점과 현재 웨이퍼 중심 지점 사이의 오프셋 벡터와 동일한 오프셋 벡터에 의해 캘리브레이팅된 위치로부터 캘리브레이팅된 위치로부터 오프셋되는 새로운 위치로 페데스탈에 대한 엔드 이펙터의 기준 지점에 대한 타깃 위치를 조정하게 유발될 수도 있다.
예를 들어, 캘리브레이션 픽스처가 전체 디스크 형상을 갖고 그리고 웨이퍼가 엔드 이펙터에 의해 지지될 때 웨이퍼 중심이 있도록 의도된 위치에 디스크 중심이 위치되도록 엔드 이펙터에 피닝된다면 (pinned), 엔드 이펙터와 연관된 기준 지점은 캘리브레이션 픽스처의 중심이 되도록 선택될 수도 있다. 따라서, 웨이퍼 핸들링 로봇이 페데스탈 중심의 XY 위치가 티칭될 때, 엔드 이펙터와 연관된 기준 지점은 페데스탈 중심에 센터링된다. 유사하게, 캘리브레이션 픽스처가 AWC 시스템을 통과할 때, 캘리브레이션 픽스처의 측정된 중심 지점 및 엔드 이펙터와 연관된 기준 지점은 함께 배치된다 (collocated). 웨이퍼 핸들링 로봇의 티칭 (teaching) 에 후속하여, 웨이퍼 핸들링 로봇이 특정한 경로를 따라 웨이퍼를 트랜스레이팅 (translate) 할 때 AWC 시스템에 의해 결정된 웨이퍼 중심의 수평 위치가 AWC에 의해 측정될 때 캘리브레이션 픽스처의 중심의 수평 위치로부터 (1mm, 2mm) 의 (X, Y) 오프셋을 가지면, 웨이퍼 핸들링 로봇은 (-1mm, -2mm) 의 보정 오프셋에 의해 엔드 이펙터와 연관된 기준 지점의 타깃 목적지를 조정하도록 제어될 수도 있으며, 이는 기준 지점으로 하여금 더 이상 페데스탈 중심과 일직선을 이루지 않게 하지만 또한 웨이퍼 중심으로 하여금 이러한 배치 동작을 위해 페데스탈 중심 상에 센터링되게 한다. 엔드 이펙터 상으로의 웨이퍼의 최초 오 배치로부터 발생하고 이를 보정하는 보정 오프셋은 본 명세서에서 "엔드 이펙터 오프셋"으로 지칭된다.
일부 멀티-스테이션 반도체 프로세싱 챔버들에서, 챔버는 프로세스 조건에, 예를 들어, 상승된 온도 및/또는 감소된 압력에서 배치될 수도 있으며, 이는 챔버 및 챔버 내에 포함된 장비로 하여금 비 프로세스 조건 (non-process condition) 과 비교하여 작은 변위들을 겪게 할 수도 있다. 예를 들어, 웨이퍼 핸들링 로봇의 티칭 동안, 기술자들이 보통 캘리브레이션 픽스처 정렬을 보장하도록 티칭 프로세스 동안 챔버의 내부에 액세스해야 하기 때문에 프로세싱 챔버는 통상적으로 실온 및 대기압 (일반적으로 비 프로세스 조건들로 간주됨) 으로 유지될 수도 있다. 그러나, 실제 반도체 프로세싱 동작들 동안, 챔버는 수백 ℃의 온도들에 도달할 수도 있고, 이는 챔버 내에서 잠재적으로 상당한 열 팽창 변위들을 유발할 수도 있다. 유사하게, 챔버가 진공하에 배치될 때, 챔버 외부의 대기압과 챔버 내 진공 환경 사이의 압력 불균형은 챔버 벽들로 하여금 편향되게 할 수도 있고, 이는 챔버 및 그에 의해 지지된 장비의 부분들의 변위를 유발할 수도 있다. 따라서, 예를 들어, 페데스탈 위치들은 시프트될 수도 있고, 인덱서 암들은 길이 등이 증가할 수도 있다. 그 결과, 비 프로세스 조건의 챔버를 갖는 웨이퍼 핸들링 로봇에 티칭된 페데스탈 중심 위치는 챔버가 프로세스 조건에 있을 때 더 이상 유효하지 않을 것이고, 챔버가 프로세스 조건에 있을 때 중심 지점에 배치된 웨이퍼들은 더 이상 페데스탈 상에 센터링되지 않을 수도 있다.
이러한 변위들을 조정하기 위해, 웨이퍼들이 페데스탈 상에 센터링되는 위치들에 배치되는 것을 보정하기 위해 엔드 이펙터 오프셋에 더하여 사용될 수도 있는 하나 이상의 보정 오프셋들을 결정하기 위해 프로세스 조건 하에서 하나 이상의 캘리브레이션 프로세스들이 수행될 수도 있다. 캘리브레이션 프로세스를 수행하기 위해, 챔버가 목표된 프로세스 조건 내에 있을 때 웨이퍼 핸들링 로봇에 의해 멀티-스테이션 챔버의 이송 페데스탈에 캘리브레이션 웨이퍼 (캘리브레이션 프로세스에 사용되도록 지정된 일반적인 웨이퍼일 수도 있음) 가 제공될 수도 있다; 캘리브레이션 웨이퍼의 중심의 수평 위치는 캘리브레이션 웨이퍼가 이러한 제공 (provisioning) 동안 AWC 시스템을 통해 통과할 때 AWC 시스템에 의해 결정될 수도 있다. 웨이퍼 핸들링 로봇은, 예를 들어, 목표된 대로, 캘리브레이션 웨이퍼와 엔드 이펙터 사이의 모든 오정렬이 상쇄되게 하도록 엔드 이펙터 오프셋을 적용할 수도 있다. 그러나, 캘리브레이션 웨이퍼의 로딩 동안 엔드 이펙터 오프셋의 적용은 이하의 논의로부터 명백해질 이유들로 인해 선택 가능하다는 것이 이해될 것이다.
일단 캘리브레이션 웨이퍼가 이송 페데스탈에 제공되면, 하나 이상의 캘리브레이션 프로세싱 동작들이 그 위에 수행될 수도 있다. 이러한 동작들은 예를 들어, 캘리브레이션 웨이퍼의 표면에 걸쳐 원주 방향으로 균일한 두께를 갖는 재료의 층을 증착하거나 제거하도록 의도된 증착 또는 에칭 동작들을 포함할 수도 있다. 층의 두께에 약간의 방사상 변동이 있을 수도 있지만, 웨이퍼의 원주 두께, 즉, 캘리브레이션 프로세스가 완료된 후 캘리브레이션 웨이퍼의 중심에 센터링된 임의의 원형 경로를 따른 캘리브레이션 웨이퍼의 두께는 이론적으로 예를 들어, 0.1% 미만의 변동을 가지며 일정하거나 거의 일정해야 한다. 그러나, 캘리브레이션 웨이퍼가 페데스탈 상에 센터링되지 않으면, 캘리브레이션 프로세스의 종료시 웨이퍼 두께에 원주 변동 증가가 있을 것이다.
도 4는 캘리브레이션 프로세스에 따른 웨이퍼 에지 두께의 예를 도시한다; 플롯은 캘리브레이션 웨이퍼의 원주에 대한 각도 위치의 함수로서 300 ㎜ 직경 캘리브레이션 웨이퍼에 대해 ~ 147 ㎜의 반경에서 원주 두께를 도시한다. 2 개의 플롯들이 도시된다 -하나는 제 1 캘리브레이션 웨이퍼를 사용하여 수행된 제 1 캘리브레이션 프로세스를 나타내는 "x" 데이터 지점들 (401) 을 갖는 플롯, 그리고 하나는 제 2 캘리브레이션 웨이퍼를 사용하여 수행된 제 2 캘리브레이션 프로세스를 나타내는 "+" 데이터 지점들 (403) 을 갖는 플롯이다. 알 수 있는 바와 같이, 제 1 캘리브레이션 웨이퍼의 원주 두께는 일반적으로 사인 곡선 (sinusoidal) 방식으로 가변하고, 최대 두께 (다이아몬드 마커로 나타냄) 는 약 45 ° 위치에서 발생하고 최소 두께 (원형 마커로 나타냄) 는 약 225 ° 위치에서 발생한다. 제 1 캘리브레이션 웨이퍼의 원주를 중심으로 최대 및 최소 웨이퍼 두께들은 페데스탈 (406) 의 중심을 통과하는 도 4의 하부 절반에 도시된 제 1 캘리브레이션 웨이퍼 (436) 의 표현에서 이중 화살표 선 (405) 으로 나타낸 벡터를 규정할 수도 있다; 제 1 캘리브레이션 웨이퍼의 표현에 제공된 음영은 페데스탈 중심 상에 센터링되는 동일한 웨이퍼 두께의 존들을 나타낸다. 이 벡터는 제 1 캘리브레이션 웨이퍼의 중심과 페데스탈 중심 사이의 오프셋의 각도 방향을 확립한다; 최대 두께와 최소 두께 사이의 차의 크기는 일반적으로 제 1 캘리브레이션 웨이퍼의 오프셋 중심과 페데스탈 중심의 크기에 비례할 수도 있다.
제 1 캘리브레이션 웨이퍼에 대한 캘리브레이션 프로세스의 수행 및 에지 두께의 후속 측정 (예를 들어, 챔버 외부에 위치된 계측 기기 상에서 수행될 수도 있음) 에 이어, 이러한 웨이퍼들로 하여금 페데스탈 중심 상에 센터링되게 하기 위해, 이송 페데스탈로 전달될 수도 있는 미래의 웨이퍼들을 위해 웨이퍼 핸들링 로봇에 의해 적용될 수도 있는 보정 오프셋에 대한 결정이 이루어질 수도 있다. 본 명세서에서 "페데스탈 오프셋"으로 지칭되는, 보정 오프셋은 적어도 부분적으로, 페데스탈 중심과 제 1 캘리브레이션 웨이퍼 중심 사이의 오프셋 및 AWC 시스템을 사용한 제 1 캘리브레이션 웨이퍼의 중심의 측정된 수평 위치에 기초하여 결정될 수도 있다. 따라서, 웨이퍼가 웨이퍼 핸들링 로봇 엔드 이펙터 상에 배치되고 챔버가 프로세스 조건에 있을 때 일반적인 로딩 동작들을 위해 AWC 시스템을 통과할 때, 웨이퍼 핸들링 로봇은 엔드 이펙터로 하여금 "티칭된" 위치를 기반으로 하지만 (엔드 이펙터에 대한 웨이퍼 배치의 가변성을 고려하기 위해) 엔드 이펙터 오프셋 및 (웨이퍼 핸들링 로봇이 티칭된 비 프로세스 조건에서 AWC 시스템에 대한 페데스탈의 위치와 대조적으로 프로세스 조건에서 AWC 시스템에 대한 페데스탈의 위치의 변동성을 설명하기 위해) 페데스탈 오프셋을 고려한 위치로 이동하게 할 수도 있다.
일부 예들에서, 페데스탈 오프셋은 제 2 캘리브레이션 웨이퍼 상에서 먼저 테스트될 수도 있고, 이어서 필요하다면, 더 개량될 (refined) 수도 있다. 예를 들어, 제 2 캘리브레이션 웨이퍼는 웨이퍼 핸들링 로봇의 엔드 이펙터 상에 배치될 수도 있고 웨이퍼 중심이 제 1 캘리브레이션 웨이퍼 데이터로부터 도출된 추정된 중심 위치에 위치되도록 페데스탈 오프셋 및 제 2 캘리브레이션 웨이퍼에 대한 엔드 이펙터 오프셋을 사용하여 (프로세스에서 AWC 시스템을 사용하여 제 2 캘리브레이션 웨이퍼에 대한 수평 웨이퍼 중심 위치를 획득하는) 이송 페데스탈에 제공될 수도 있다. 이어서 동일하거나 유사한 캘리브레이션 프로세스가 제 2 캘리브레이션 웨이퍼 상에서 수행될 수도 있고, 이는 이어서 챔버로부터 제거될 수도 있고 원주 두께 데이터, 예를 들어, 도 4의 제 2 데이터 플롯 ("+" 마크들) 을 획득하기 위해 위에서 언급한 동일한 계측 툴을 사용하여 평가될 수도 있다. 알 수 있는 바와 같이, 제 1 캘리브레이션 웨이퍼 두께로부터 도출된 페데스탈 오프셋은 웨이퍼 균일도를 개선하게 하지만, 제 2 캘리브레이션 웨이퍼의 원주 불균일은 여전히 개선될 수 있다.
제 2 캘리브레이션 웨이퍼 결과들이 제 1 캘리브레이션 웨이퍼 결과들에 기초한 페데스탈 오프셋이 페데스탈 상에 제 2 캘리브레이션 웨이퍼를 정확하게 센터링하는 것을 확인하지 않으면, 페데스탈 오프셋은 제 1 및 제 2 캘리브레이션 웨이퍼 데이터의 결과들에 기초하여 개량될 수도 있다. 예를 들어, AWC 시스템을 사용하여 결정되는 제 1 캘리브레이션 웨이퍼와 제 2 캘리브레이션 웨이퍼의 중심 지점들의 수평 위치들 사이의 수평 거리는 제 1 캘리브레이션 웨이퍼와 제 2 캘리브레이션 웨이퍼 사이의 불균일의 차에 비례할 수도 있고, 보다 정확한 페데스탈 오프셋이 결정되어 페데스탈 오프셋을 업데이트하도록 사용될 수 있다.
챔버가 복수의 상이한 프로세스 조건들에서 동작된다면, 캘리브레이션 프로세스는 페데스탈 오프셋의 전개가 목표되는 프로세스 조건 각각에 대해 수행될 수도 있다.
멀티-스테이션 챔버의 맥락에서, 페데스탈 오프셋들은 챔버 내의 분리된 페데스탈 각각에 대해 상기와 대체로 동일한 방식으로 결정될 수도 있다. 도 5는 이러한 멀티-스테이션 페데스탈 오프셋 결정 기법의 흐름도를 도시한다. 블록 502에서, 캘리브레이션 웨이퍼는 웨이퍼 핸들링 로봇에 의해 이송 페데스탈에 제공될 수도 있다; AWC 시스템에 대한 캘리브레이션 웨이퍼의 중심 위치는 이러한 제공 동안 AWC 시스템에 의해 결정될 수도 있다. 블록 504에서, 캘리브레이션 웨이퍼는 선택 가능하게 인덱서에 의해 이송 페데스탈로부터 페데스탈 오프셋이 결정될 페데스탈로 이동될 수도 있다 (이 동작은 페데스탈 오프셋이 결정될 페데스탈이 이송 페데스탈 자체라면 생략될 수도 있다). 블록 506에서, 상기 논의된 캘리브레이션 프로세스는 페데스탈이 캘리브레이션 웨이퍼를지지하는 (그리고 페데스탈 오프셋이 결정되는) 캘리브레이션 웨이퍼상에서 수행될 수도 있다. 블록 508에서, 캘리브레이션 웨이퍼는 선택 가능하게 인덱서에 의해, 캘리브레이션 프로세스가 수행된 페데스탈로부터 이송 페데스탈로 다시 이동될 수도 있다 (블록 504에서와 같이, 페데스탈 오프셋이 결정되는 페데스탈이 이송 페데스탈이라면, 이 동작은 생략될 수도 있다). 블록 510에서, 예를 들어 계측 툴을 사용하여 캘리브레이션 웨이퍼의 균일도에 대한 정보가 획득될 수도 있고, 블록 512에서 캘리브레이션 프로세스 동안 캘리브레이션 웨이퍼를 지지한 페데스탈에 대한 페데스탈 오프셋은 블록 502에서 AWC 시스템에 의해 결정된 바와 같은 캘리브레이션 웨이퍼의 XY 위치 및 캘리브레이션 웨이퍼의 균일도에 대한 정보에 기초하여 결정될 수도 있다. 블록 514에서, 페데스탈 오프셋은 캘리브레이션 프로세스 동안 캘리브레이션 웨이퍼를 지지한 페데스탈을 식별하는 정보와 관련하여 메모리에 저장될 수도 있다. 블록 516에서, 추가 페데스탈 오프셋들이 획득되는지 여부에 대한 결정이 이루어질 수도 있고; 그렇다면, 기법은 이러한 기법이 부가적인 페데스탈들에 대한 페데스탈 오프셋들을 결정하도록 반복될 수도 있거나 또는 이러한 페데스탈에 대해 이미 결정된 페데스탈 오프셋을 개량하기 위해 동일한 페데스탈을 사용하여 다시 수행될 수도 있는, 블록 502로 돌아갈 수도 있다. 더 이상의 페데스탈 오프셋 결정들이 이루어질 필요가 없다고 블록 516에서 결정되면, 기법은 시작될 생산-레벨 웨이퍼 프로세싱 동작들을 시작하기 위해 일반적인 웨이퍼 로딩이 시작될 수도 있는 블록 518로 진행할 수도 있다.
일반적으로 말하면, 인덱서는 페데스탈 오프셋들을 결정하기 위한 프로세스 및 일반적인 프로세싱의 일부인 나중의 웨이퍼 배치 동작들 모두를 포함하는, 웨이퍼 이동 동작들에 대해 일관된 방식으로 이동하도록 제어될 수도 있다. 예를 들어, 4-스테이션 멀티-스테이션 챔버에서, 인덱서는 (웨이퍼를 다음 페데스탈/스테이션으로 전진시키기 위해) 웨이퍼 이동 동작 각각에 대해 90 ° 회전하도록 유발될 수도 있다. 이상적으로, 일 스테이션으로부터 다음 스테이션으로 웨이퍼를 이동시킬 때 인덱서 회전 운동은 360 °/N 일 수도 있고, 여기서 N은 인덱서 회전 축을 중심으로 배열된 스테이션들/페데스탈들의 수이다.
상기 논의된 엔드-이펙터 오프셋들 및 페데스탈 오프셋들을 결정하기 위한 기법들은 단순히 예시적인 기법들이며, 다른 기법들이 또한 사용될 수도 있다는 것이 이해될 것이다. 엔드 이펙터 오프셋들 및/또는 페데스탈 오프셋들이 어떻게 결정되는지와 무관하게, 이러한 엔드 이펙터 오프셋들 및 페데스탈 오프셋들이 이러한 결정에 후속하는 정적 모드 프로세싱을 지원하도록 사용될 수도 있는 방식은 일반적으로 도 6과 관련하여 이하의 논의에 설명된 바와 동일할 수도 있다.
도 6은 정적 모드의 프로세싱을 위한 준비시 멀티-스테이션 챔버 내에 웨이퍼들을 배치하기 위한 기법에 대한 흐름도를 도시한다. 도 6의 기법은 웨이퍼가 멀티-스테이션 챔버 내 프로세싱을 위해 선택될 수도 있는 블록 602에서 시작될 수도 있다. 블록 604에서, 멀티-스테이션 챔버의 페데스탈은 선택된 웨이퍼에 대한 목적지 페데스탈로서 선택될 수도 있다. 용어가 본 명세서에 사용된 바와 같이, 웨이퍼에 대한 "목적지 페데스탈"은 보통 정적 모드 또는 정적 제자리 회전 모드의 맥락에서, 이러한 웨이퍼가 반도체 프로세싱 동작들 동안 위치될 스테이션/페데스탈을 지칭한다.
일단 웨이퍼에 대한 목적지 페데스탈이 블록 604에서 선택되면, 이러한 목적지 페데스탈에 대한 대응하는 페데스탈 오프셋이 블록 606에서 획득될 수도 있다. 예를 들어, 멀티-스테이션 챔버의 제어기는 페데스탈 오프셋들을 멀티-스테이션 챔버의 대응하는 페데스탈들과 연관시키는 데이터베이스로부터 대응하는 페데스탈 오프셋을 선택할 수도 있다.
일단 목적지 페데스탈 및 연관된 페데스탈 오프셋이 블록 606에서 선택되면, 선택된 웨이퍼는 멀티-스테이션 챔버의 이송 페데스탈 상의 배치를 위해 블록 608에서 웨이퍼 핸들링 로봇의 엔드 이펙터 상으로 로딩될 수도 있다. 선택된 웨이퍼는 또한 목적지 페데스탈 또는 대응하는 페데스탈 오프셋의 선택 전에 웨이퍼 핸들링 로봇의 엔드 이펙터 상에 배치될 수도 있다.
일단 선택된 웨이퍼가 웨이퍼 핸들링 로봇의 엔드 이펙터 상에 배치되면, 앞서 논의된 바와 같이, 멀티-스테이션 챔버의 AWC 시스템에 대한 선택된 웨이퍼의 중심의 수평 위치가 블록 610에서 결정될 수도 있고, 그리고 블록 612에서 선택된 웨이퍼에 대한 엔드 이펙터 오프셋을 결정하도록 사용될 수도 있다.
블록 614에서, 선택된 웨이퍼는 멀티-스테이션 챔버의 이송 페데스탈에 제공될 수도 있고, 적어도 부분적으로, 목적지 페데스탈에 대해 선택된 페데스탈 오프셋 및 선택된 웨이퍼에 대해 결정된 엔드 이펙터 오프셋에 기초하여 웨이퍼 핸들링 로봇에 의해 포지셔닝될 수 있다.
블록 616에서, 이송 페데스탈이 또한 목적지 페데스탈인지 결정이 이루어질 수도 있고; 그렇지 않다면, 기법은 멀티-스테이션 챔버의 인덱서가 이송 페데스탈로부터 목적지 페데스탈로 웨이퍼를 이동하도록 유발될 수도 있는 블록 618로 진행할 수도 있다. 일부 구현 예들에서, 이송 페데스탈로부터 목적지 페데스탈로의 선택된 웨이퍼의 이동은 스테이지들에서 발생할 수도 있고, 부가적인 웨이퍼들이 스테이지 각각 사이에서 이송 페데스탈로 제공되고, 각각은 페데스탈들 중 상이한 하나를 향한다. 일부 이러한 구현 예들에서, 선택된 웨이퍼는 인덱서에 의해 연속적으로 지지될 수도 있고, 또는 다른 이러한 구현 예들에서, 멀티-스테이션 챔버의 또 다른 페데스탈 상에 배치될 수도 있고 이어서 이동을 계속하기 위해 인덱서에 의해 다시 픽업될 수도 있다. 선택된 웨이퍼가 그의 대응하는 목적지 페데스탈로 이동되거나 적어도 이송 페데스탈로부터 이동된 후, 기법은 블록 602로 돌아갈 수도 있고, 블록 602에서 기법은 이송 페데스탈이 목적지 페데스탈로서 선택될 때까지 추가로 선택된 웨이퍼들에 대해 반복될 수도 있고, 이송 페데스탈이 목적지 페데스탈로서 선택되는 지점에서 기법은 완전히 로딩된 멀티-스테이션 챔버가 로딩된 웨이퍼들을 프로세싱하도록 사용될 수도 있는 블록 620으로 진행될 수도 있다.
도 6의 기법은 목표된 대로, 사용되지 않은 스테이션들로의 웨이퍼들의 로딩이 생략된, 부분적으로 로딩된 멀티-스테이션 챔버들에 사용될 수도 있다. 부가적으로, 일부 구현 예들에서, 멀티-스테이션 챔버는 이송 페데스탈 들로서 기능하는 2 개의 페데스탈들을 가질 수도 있다. 이러한 구현 예들에서, 멀티-스테이션 챔버는 이송 페데스탈 각각에 특정한 AWC 시스템을 가질 수도 있고, 목적지 페데스탈들 각각에 대해 2 개의 페데스탈 오프셋들이 있을 수도 있고, 주어진 (given) 목적지 페데스탈에 대한 페데스탈 오프셋 각각은 두 개의 이송 페데스탈들 중 상이한 하나에 대해 결정되었다. 이러한 구현 예에서, 목적지 페데스탈에 대해 선택된 페데스탈 오프셋은 웨이퍼를 멀티-스테이션 챔버 내로 로딩하도록 사용될 이송 페데스탈 및 페데스탈의 상이한 조합과 페데스탈 오프셋 각각을 연관시키는 데이터 세트로부터 선택될 수도 있다; 웨이퍼가 선택된 이송 페데스탈 상에 배치되고 선택된 목적지 페데스탈로 이동될 때, 선택된 이송 페데스탈 및 선택된 목적지 페데스탈에 대응하는 페데스탈 오프셋은 웨이퍼를 선택된 이송 페데스탈 상에 배치하도록 사용될 수도 있다.
도 6의 기법은 웨이퍼 각각이 최종적으로 목적지 페데스탈에 대해 센터링된 위치에서 그의 목적지 페데스탈 상에 배치되는 것을 보장하도록 사용될 수도 있으며, 이는 일반적으로 웨이퍼 프로세싱 정적 모드 동안 가장 높은 레벨의 웨이퍼 균일도를 제공할 수도 있다.
도 7은 오프셋 조건의 페데스탈들 및 예시된 페데스탈 오프셋들을 갖는 멀티-스테이션 챔버의 예를 도시한다. 도 7에서, 멀티-스테이션 챔버 (702) 는 각각 페데스탈 (706) 을 갖는 4 개의 스테이션들 (704) (예를 들어, 스테이션 (704-1) 은 페데스탈 (706-1), 등을 가짐) 을 포함한다. 스테이션 (704) 각각의 점선 원들은 멀티-스테이션 챔버 (702) 가 프로세스 조건에 있지 않을 때, 예를 들어, 멀티-스테이션 챔버 (702) 가 실온이고 대기압 조건과의 평형일 때 대응하는 스테이션 (704) 내의 페데스탈 (706) 각각의 위치를 나타낸다. 이 특정한 예에서, 페데스탈 (706) 각각은, 멀티-스테이션 챔버 (702) 가 프로세스 조건에 있지 않을 때, 대응하는 스테이션 (704) 내에 센터링된다. 페데스탈들 (706) 의 두꺼운 실선 윤곽들은, 예를 들어 챔버 (702) 가 프로세스 조건에 있을 때 발생할 수도 있는 것과 같이, 변위될 때 페데스탈들 (706) 의 위치들을 나타낸다. 점선 원 각각의 중간의 점선 십자선은 챔버 (702) 가 프로세스 조건에 있지 않을 때 페데스탈 (706) 각각의 중심 위치를 나타낸다. 페데스탈 (706) 각각의 중간에 있는 실선 십자선들은 이들을 상이한 스테이션들 (704) /페데스탈들 (706) 과 연관시키기 위해 이들 옆에 숫자 1, 2, 3, 또는 4로 나타내어지고, 챔버 (702) 가 프로세스 조건에 있을 때 변위된 페데스탈들 (706) 의 중심들을 나타낸다.
스테이션 (704-1) 의 경우, 페데스탈 (706-1) 에 대해 도시된 실선 십자선들에 더하여, 페데스탈들 (706-2, 704-3, 및 704-4) 각각에 대해 실선 십자선들이 도시된다. 스테이션 (704-1) 의 페데스탈들 (706-2, 704-3, 및 704-4) 에 대한 실선 십자선들은 인덱서 (714) 의 인덱서 회전 축 (726) 을 중심으로 (스테이션 (704-2) 으로부터) 90 °, (스테이션 (704-3) 으로부터) 180 ° 또는 (스테이션 (704-4) 으로부터) 270 °로 회전 변환될 때에 페데스탈들 (706-2, 704-3, 및 704-4) 의 중심 지점들을 나타낸다; 페데스탈 (706-1) 에 대한 실선 십자선들은 트랜스레이션 (translation) 없이 페데스탈 (706-1) 의 중심 지점을 직접 나타낸다. 옆에 "0"이 있는 스테이션 (704-1) 의 점선 십자선들은 변위되지 않은 상태에 있을 때 페데스탈 (706-1) 의 중심을 나타내고, 이는 이 예에서, 웨이퍼들이 페데스탈 (706-1) 상에 센터링되도록 웨이퍼 핸들링 로봇 (746) 이 웨이퍼들을 전달할 페데스탈 (706-1) 의 "티칭된" 중심에 대응할 수도 있다. 이 예에서, 페데스탈 (706-1) 은 이러한 지정에 관한 이전 논의에 따라 이송 페데스탈로 간주된다.
챔버 (702) 는 또한, 이 예에서 웨이퍼 핸들링 로봇 (746) 의 엔드 이펙터 (750) 및 로봇 암 (748) 에 의해 지지된 웨이퍼 (736) 와 같은 웨이퍼의 에지들이 AWC 시스템 (742) 에 대한 웨이퍼 (736) 의 중심에 대해 이루어지는 결정들을 허용하기 위해 각각의 광학 센서 (744) 에 의해 방출된 광학 빔과 교차할 때 레지스터링 하도록 구성된 2 개의 광학 센서들 (744) 을 갖는 AWC 시스템 (742) 을 구비한다. 중심 지점들 1', 2', 3', 및 4', 뿐만 아니라 0'은 웨이퍼들을 이송 페데스탈 (706-1) 에 제공할 때 웨이퍼 핸들링 로봇 (746) 에 의해 사용된 변위 벡터와 상관되는 변위 벡터를 따라 변위된 후 페데스탈 (706-1) 의 변위되지 않은 중심 지점 및 스테이션 (704-1) 에 도시된 중심 지점들 1, 2, 3, 및 4 을 나타낸다. 우측 하단에 제공된 상세도에서 알 수 있는 바와 같이, 인덱서 회전 축 (726) 을 중심으로 회전 변환될 때, 변위된 페데스탈 중심 지점 각각은 대응하는 변위 벡터가 티칭된 중심 지점과 4 개의 "변위된" 페데스탈 중심 지점들 각각 사이에 규정되도록 이송 페데스탈의 "티칭된" 중심 지점에 대해 위치될 수도 있다
이들 변위 벡터들은 변위되지 않은 이송 페데스탈의 0' "티칭된" 중심 지점 상에 센터링되는 웨이퍼가 특정한 목적지 페데스탈 상에 센터링된다는 것을 보장하기 위한 페데스탈 오프셋 필요성을 나타낸다. 예를 들어, 웨이퍼 (736) 가 페데스탈 (706-2) 에 제공되고 AWC 시스템 (742) 에 의해 평가될 때 그의 중심 지점이 0' 중심 지점과 일치하도록 우연히 포지셔닝된다면, 웨이퍼 핸들링 로봇 (746) 은 웨이퍼 (736) 의 중심이 (페데스탈 (706-1) 이 변위되지 않은 상태이고 도 7에서 대시-점-대시 선으로 표현될 때 웨이퍼 중심을 페데스탈 (706) 의 중심과 정렬시키기 위해 필요한 변위를 나타내는) 0'과 0 십자선들 사이에 규정된 변위 벡터 및 0' 중심 지점과 2' 중심 지점 사이에 규정된 페데스탈 오프셋에 의해 0' 위치로부터 변위되도록 엔드 이펙터 (750) 를 변위시키도록 유발된다. 변위 벡터 및 페데스탈 오프셋은 예를 들어, 2 개의 개별 이동들로서 개별적으로 적용될 수도 있고, 또는 페데스탈 오프셋과 결합된 원래 변위 벡터에 의해 표현된 총 변위를 나타내는 새로운 변위 벡터가 계산될 수도 있다. 유사하게, 웨이퍼가 AWC 시스템 (742) 에 의해 평가될 때 중심 지점 0' 위에 완벽하게 센터링되지 않는다면, 웨이퍼를 배치할 때 웨이퍼 핸들링 로봇 (746) 의 이동들에 부가적인 엔드-이펙터 오프셋이 유사하게 인자될 수도 있다.
상기 기법들이 웨이퍼들에 대해 정적 모드 프로세싱을 수행하도록 구성된 멀티-스테이션 챔버들의 맥락에서 웨이퍼 배치에 적용 가능하지만, 상이한 기법들이 부가적인 회전 축들을 갖는 인덱서를 사용하여 인덱싱된 제자리 회전 모드 프로세싱 및/또는 정적 제자리 회전 모드 프로세싱을 수행하도록 구성된 멀티-스테이션 챔버들의 맥락에서 실시될 수도 있다. 페데스탈 오프셋 대신에, 부가적인 회전 축들을 갖는 인덱서를 사용하는 인덱싱된 제자리 회전 모드 프로세싱 및/또는 정적 제자리 회전 모드 프로세싱에서, 웨이퍼 지지부 오프셋이 사용될 수도 있다. 이러한 제자리 회전 모드들에서, 웨이퍼가 제자리 웨이퍼 회전을 수행하도록 사용된 웨이퍼 지지부의 회전 중심에 센터링되지 않는다면, 웨이퍼는 웨이퍼 지지부를 사용한 연속적인 웨이퍼 회수, 회전, 및 배치 동작 각각과의 오정렬 증가를 경험할 수도 있다. 이러한 오정렬 효과들을 최소화하거나 감소시키기 위해, 웨이퍼는 특정한 인덱서 암에 할당될 수도 있고, 이어서 이 특정한 인덱서 암과 연관된 웨이퍼 지지부 오프셋이 웨이퍼가 선택된 인덱서 암에 의해 이송 페데스탈로부터 회수될 때 선택된 인덱서 암의 단부에 위치된 웨이퍼 지지부의 회전 축 상에 센터링되도록 이송 페데스탈에 대해 웨이퍼의 포지셔닝을 조정하도록 사용될 수도 있다. 이렇게 포지셔닝될 때, 인덱서 암에 대한 웨이퍼 지지부의 회전은 이러한 회전 전의 그의 위치로부터 이러한 회전 후 그의 위치로 웨이퍼의 중심 지점의 이동을 거의 발생시키지 않거나, 이상적으로 발생시키지 않을 것이다. 이러한 구현 예들에서, 동일한 인덱서 암이 챔버 내 웨이퍼의 체류 (residence) 전체에 걸쳐 웨이퍼를 이송하도록 사용될 수도 있고, 이에 따라 웨이퍼의 중심이 웨이퍼 지지부 오프셋과 연관된 웨이퍼 지지부의 회전 축과 정렬된 채로 남는 것을 보장한다.
도 8은 특정한 프로세스 조건에서 멀티-스테이션 챔버를 사용하여 웨이퍼 지지부 오프셋들을 결정하기 위한 기법의 흐름도를 도시한다. 기법은 블록 802에서 시작될 수도 있고, 여기서 캘리브레이션 웨이퍼는 인덱서 암들에 대해 웨이퍼들의 회전들을 수행하도록 사용될 수도 있는 회전 가능한 웨이퍼 지지부들을 갖는 멀티-스테이션 챔버 내에 웨이퍼들을 배치하도록 구성된 웨이퍼 핸들링 로봇의 엔드 이펙터 상으로 로딩될 수도 있다.
블록 804에서, 웨이퍼 지지부 오프셋이 결정될 인덱서의 인덱서 암이 선택될 수도 있다. 블록 806에서, 캘리브레이션 웨이퍼는 멀티-스테이션 챔버의 AWC 시스템을 통과할 수도 있고 AWC 시스템에 대한 캘리브레이션 웨이퍼의 중심의 수평 위치에 대한 결정이 이루어질 수도 있다; 이어서 캘리브레이션 웨이퍼는 블록 808에서 선택된 인덱서 암의 웨이퍼 지지부에 제공될 수도 있다. 예를 들어, 웨이퍼 핸들링 로봇은 웨이퍼로 하여금 멀티-스테이션 챔버의 이송 페데스탈로 제공되게 할 수도 있고, 여기서 이송 페데스탈의 리프트 핀들은 연장할 수도 있고 웨이퍼 핸들링 로봇의 엔드 이펙터로부터 캘리브레이션 웨이퍼를 리프팅할 수도 있다. 이어서 웨이퍼 핸들링 로봇은 캘리브레이션 웨이퍼 아래로부터 엔드 이펙터를 인출할 수도 있고, 그 후 인덱서가 회전되어 선택된 인덱서 암으로 하여금 수직 축을 따라 보았을 때 이송 페데스탈의 중심과 일반적으로 정렬된 선택된 인덱서 암의 웨이퍼 지지부의 회전 축 그리고 캘리브레이션 웨이퍼 아래의 선택된 인덱서 암의 웨이퍼 지지부와 포지셔닝되게 할 수도 있다. 이어서 리프트 핀들은 이송 페데스탈 내로 후퇴하고 캘리브레이션 웨이퍼를 선택된 인덱서 암의 웨이퍼 지지부 상으로 하강시킬 수도 있다.
일단 캘리브레이션 웨이퍼가 웨이퍼 지지부 상으로 로딩되면, 웨이퍼 지지부는 블록 810에서 웨이퍼 지지부가 미리 결정된 각도만큼 부착되는 인덱서 암에 대해 회전하거나 스핀하도록 유발될 수도 있다. 예를 들어, 회전 가능한 웨이퍼 지지부는 4 개의 인덱서 암들을 갖는 인덱서에 대해, 그것이 장착되는 인덱서 암에 대해 다른 회전 각도들이 또한 사용될 수도 있지만 90 ° 만큼 회전하도록 유발될 수도 있다; 인덱서 암 자체는 인덱서 암에 대한 웨이퍼 지지부가 회전되는 동안 회전하는 것이 방지될 수도 있다. 이러한 회전 후, 캘리브레이션 웨이퍼가 웨이퍼 지지부에 의해 미리 결정된 각도만큼 회전된 후 AWC 시스템에 대한 캘리브레이션 웨이퍼의 중심의 수평 위치를 결정하기 위해 캘리브레이션 웨이퍼는 블록 812에서 웨이퍼 핸들링 로봇의 엔드 이펙터에 의해 회수되고 다시 AWC 시스템을 통과하도록 유발될 수도 있다. 웨이퍼 핸들링 로봇은, 캘리브레이션 웨이퍼를 이송 페데스탈에 제공 및 회수하고 캘리브레이션 웨이퍼의 중심의 수평 위치를 획득하기 위해 AWC 시스템을 통해 캘리브레이션 웨이퍼를 통과시킬 때, 회전 전 및 회전 후 모두에서 AWC 시스템에 대한 캘리브레이션 웨이퍼 중심 사이의 변위만이 웨이퍼 중심과 웨이퍼 지지부의 회전 축 사이의 오정렬로부터 발생하도록 동일한 모션 경로를 따르도록 유발될 수도 있다.
웨이퍼 지지부의 회전 각도 및 캘리브레이션 웨이퍼의 회전 전 및 후에 AWC 시스템에 대한 캘리브레이션 웨이퍼의 중심의 수평 위치가 결정된 후, 웨이퍼 지지부 오프셋은 웨이퍼 지지부에 대한 회전 축과 캘리브레이션 웨이퍼 중심 사이의 수평 거리를 결정하기 위한 기하학적 관계들 및 삼각법의 사용을 통해 결정될 수도 있다. 예를 들어, 2 개의 캘리브레이션 웨이퍼 중심 지점 측정 값들 사이의 수평 거리가
Figure pct00001
이고 회전 각도가
Figure pct00002
이면, "방사상 거리" 또는
Figure pct00003
로 지칭될 수도 있는, 2 개의 캘리브레이션 웨이퍼 중심 지점들 중 하나와 웨이퍼 지지부의 회전 축 사이의 수평 거리는
Figure pct00004
) 에 따라 결정될 수도 있다. 일단 방사상 거리가 공지되면, 회전 축의 위치에 대한 2 개의 잠재적인 솔루션들 (웨이퍼 중심이 회전 전 (pre-rotation) 수평 위치에서 웨이퍼 지지부의 수평 위치로 시프팅되는 (shifted) 방식과 반대로 AWC 시스템의 기준 프레임으로 시프팅되는) 은 AWC 시스템에 의해 결정된 바와 같이 각각 방사상 거리
Figure pct00005
와 동일한 반경을 갖고 캘리브레이션 웨이퍼의 수평 위치들 중 상이한 하나에 센터링된 2 개의 원들 사이의 교차점들을 해결함으로써 결정될 수도 있다. 회전 축의 정확한 위치는 회전 방향 및 2 개의 수평 중심 위치들의 상대적인 포지셔닝을 고려함으로써 이들 2 개의 가능한 솔루션들로부터 선택될 수도 있다. 예를 들어, 웨이퍼 지지부의 회전 방향이 시계 방향이라면, 정확한 회전 축 솔루션은 예를 들어, 두 개의 잠재적인 회전 축 위치들의 각각에 대한 캘리브레이션 웨이퍼의 회전 전에 캘리브레이션 웨이퍼의 수평 중심 지점을 웨이퍼 지지부에 인가된 것과 동일한 각도량 만큼 동일한 회전 방향으로 회전시킴으로써 결정될 수 있다; 정확한 회전 축은 캘리브레이션 웨이퍼의 회전이 수행된 후 캘리브레이션 웨이퍼의 수평 위치와 라인 업되는 수평 위치의 회전된 위치로 나타내어질 것이다. 이 방식으로 결정된 회전 축 위치는 실제로 변위된 좌표 프레임에 기초한 회전 축의 위치이다; 그러나 웨이퍼가 상기에 따라 결정된 회전 축과 함께 배치된 그의 중심 지점으로 포지셔닝되고 이어서 캘리브레이션 프로세스 동안 사용된 것과 동일한 방식으로 웨이퍼 핸들링 로봇에 의해 이동되면, 웨이퍼 중심 지점은 인덱서 암의 웨이퍼 지지부의 회전 중심과 정렬될 것이다.
일단 AWC 시스템에 대한 웨이퍼 지지부의 회전 축의 위치가 확립되면, 웨이퍼 지지부 오프셋은 페데스탈 중심의 "티칭된" 위치 (또는 일부 다른 기준 프레임) 와 AWC 시스템에 대한 웨이퍼 지지부의 회전 축의 위치 사이의 벡터의 크기 및 방향에 기초하여 블록 814에서 결정될 수도 있다.
블록 816에서, 추가 웨이퍼 지지부 오프셋들의 결정이 필요한지 여부에 대한 결정이 이루어질 수도 있다; 그렇다면, 기법은 다른 인덱서 암들의 웨이퍼 지지부들에 대한 이러한 웨이퍼 지지부 오프셋들의 추가 결정들을 위해 블록 802로 돌아갈 수도 있다. 일단 웨이퍼 지지부 오프셋들이 인덱서 암들 각각에 대해 결정되면, 기법은 일반적인 웨이퍼 프로세싱 동작들이 시작될 수도 있는 블록 818로 진행할 수도 있다.
도 8의 기법은 하나 이상의 프로세스 조건들에 있는 멀티-스테이션 챔버를 사용하여 수행될 수도 있다는 것이 이해될 것이다-이러한 웨이퍼 지지부 오프셋들은 웨이퍼가 멀티-스테이션 챔버로 도입될 때 잠재적으로 존재할 것으로 일반적으로 예상되는 프로세스 조건 각각에 대해 결정될 수 있다. 부가적으로, 2 개의 잠재적인 웨이퍼 로드 지점들, 예를 들어, 2 개의 이송 페데스탈들이 있다면, 웨이퍼 지지부 오프셋들의 분리된 세트들은 하나는 제 1 이송 페데스탈을 위한 것이고 다른 하나는 제 2 이송 페데스탈을 위한 것인 2 개의 상이한 AWC 시스템들을 사용하여 결정될 수도 있다.
추가의 이해를 위해, 도 9 내지 도 12는 캘리브레이션 웨이퍼가 웨이퍼 지지부의 회전 축 상에 센터링되는 방식으로 배치될 때 상기 기법의 다양한 상태들 동안의 캘리브레이션 웨이퍼를 도시한다. 도 13 내지 도 16은 캘리브레이션 웨이퍼가 웨이퍼 지지부의 회전 축 상에 센터링되지 않게 하는 방식으로 배치될 때 상기 기법의 다양한 상태들 동안의 캘리브레이션 웨이퍼를 도시한다.
도 9에서, 엔드 이펙터 (950) 를 갖는 웨이퍼 핸들링 로봇은 AWC에 대해 웨이퍼 (936) 의 중심의 수평 위치 (938) 를 결정하도록 사용될 수도 있는 광학 센서들 (944) 을 갖는 AWC 시스템 (942) 을 통해 웨이퍼 (936) 를 이송하도록 유발된다. 이어서 웨이퍼 핸들링 로봇은 도 10에 도시된 바와 같이, 멀티-스테이션 챔버 (902) 의 페데스탈 (906) 위에 포지셔닝되는 인덱서 암 (916) 에 부착될 수도 있는 웨이퍼 지지부 (928) 에 웨이퍼를 제공할 수도 있다. 웨이퍼 (936) 는 웨이퍼 (936) 의 상부 에지를 따라 삼각형 인디케이터 (triangular indicator) 에 의해 나타낸 바와 같이, 특정한 배향으로 웨이퍼 지지부 (928) 에 제공된다.
웨이퍼 (936) 가 웨이퍼 지지부 (928) 에 제공된 후, 웨이퍼 지지부 (928) 및 웨이퍼 (936) 는 도 11에 도시된 바와 같이 미리 결정된 양만큼 회전 축 (930) 을 중심으로 회전될 수도 있다. 특히, 회전 각도가 90 ° 인 경우, 도시된 삼각형 인디케이터는 12시 위치로부터 3시 위치로 회전하는 것으로 도시된다 (웨이퍼 지지부 (928) 의 위치는 회색 점선 윤곽으로 도시됨).
웨이퍼 (936) 및 웨이퍼 지지부 (928) 가 미리 결정된 양만큼 회전된 후, 엔드 이펙터 (950) 는 웨이퍼 (936) 를 회수하고 웨이퍼 (936) 를 AWC 시스템 (942) 을 통해 다시 통과하게 할 수도 있고, 이에 따라 AWC 시스템 (942) 에 대한 웨이퍼 (936) 의 중심의 제 2 측정 값을 획득 할 수도 있다. 이 경우, 웨이퍼 (936) 의 중심과 회전 축 (930) 사이에 완벽한 정렬이 있고, 따라서 회전으로 인해 웨이퍼 (936) 의 중심의 변위가 발생하지 않는다.
도 13에서, 웨이퍼 (938) 는 도 9에서와 동일한 방식으로 웨이퍼 지지부 (928) 에 제공된다. 그러나, 멀티-스테이션 챔버 (902) 는, 예를 들어 인덱서 암(916) 의 열 팽창으로 인해 웨이퍼 지지부 (928) 및 그의 회전축(930) 이 예를 들어 도 9에 도시된 위치로부터 시프팅하게 하는 프로세스 조건하에 있다. 웨이퍼 지지부 (928) 의 이전 위치 (old position) 는 참조를 위해 밝은 회색 점선 윤곽으로 도시된다. 도 9에서와 같이, AWC 시스템 (942) 에 대한 웨이퍼 (936) 의 중심 위치 (938) 는 웨이퍼가 AWC 시스템 (942) 을 통과할 때 AWC 시스템 (942) 에 의해 결정될 수도 있다.
도 10에서와 같이, 웨이퍼 (936) 는 도 14에 도시된 바와 같이 웨이퍼 지지부 (928) 상에 배치될 수도 있고, 웨이퍼 지지부 (928) 는 이어서 도 15에 도시된 바와 같이 미리 결정된 양만큼 인덱서 암 (916) 에 대해 회전 축 (930) 을 중심으로 회전될 수 있어, 이에 따라 웨이퍼 (936) 로 하여금 동일한 양, 예를 들어, 90 °만큼 회전 축 (930) 을 중심으로 회전하게 한다. 이러한 회전 후, 엔드 이펙터 (950) 는 도 16에 도시된 바와 같이, 웨이퍼 지지부 (928) 로부터 웨이퍼 (936) 를 회수하고 AWC 시스템 (942) 에 대한 웨이퍼 중심의 수평 위치 (938') 의 제 2 측정 값을 획득하도록 웨이퍼 (936) 를 AWC 시스템 (942) 을 통과하게 할 수도 있다. 웨이퍼 (936) 의 중심 및 웨이퍼 지지부 (928) 의 회전 축 (930) 이 정렬되지 않았다는 사실로 인해, 회전 축 (930) 을 중심으로 한 웨이퍼 (936) 의 회전은 웨이퍼 (936) 의 중심이, 회전 전 웨이퍼 (936) 의 중심의 수평 위치 (938) 와 비교하여 회전 후 웨이퍼 (936) 의 중심의 수평 위치 (938') 의 위치의 시프팅에 의해 입증된 바와 같이, 변위되게 한다.
우측 하단에서 웨이퍼 (936) 의 중심의 회전 전/회전 후 수평 위치들 (938/938') 의 상세도에서 알 수 있는 바와 같이, 중심 지점의 수평 위치들 (938 및 938') 에 대해 회전 축 (930' 및 930'') 의 수평 위치에 대한 두 개의 잠재적인 솔루션들이 도시된다-솔루션들 각각은 웨이퍼 (936) 의 중심의 수평 위치들 (938/938') 모두로부터 등거리에 있고, 그리고 잠재적인 솔루션들 (930' 및 930'') 각각에 대한 웨이퍼 (936) 의 중심의 수평 위치들 (938/938') 을 연결하는 선들 사이에 끼인각 (included angle) 은 웨이퍼 (936) 가 경험한 회전 각도, 예를 들어, 이 예에서 90 °와 동일하다. 웨이퍼 (936) 가 경험한 회전 방향, 예를 들어,이 예에서 시계 방향을 고려하면, 회전 축의 수평 위치 (930') 가 유효한 2 개의 잠재적인 솔루션들의 유일한 솔루션이라는 것이 명백해진다. AWC 시스템 (942) 에 대한 웨이퍼 지지부 (928) 의 회전 축 (930') 의 위치가 알려지면, 웨이퍼 지지부 오프셋은 웨이퍼 배치 동작 각각에 대한 디폴트 시작점으로서 역할을 하는 "티칭된" 수평 위치에 대한 회전 축 (930') 의 수평 위치에 기초하여 결정될 수도 있다.
이 기법은 본질적으로 전체가 모든 목적들을 위해 본 명세서에 참조로서 인용된 미국 특허 출원 제 16/000,734 호에 논의된 기법과 유사하다. 미국 특허 출원 제 16/000,734 호는 일반적으로 "리프트-패드" 또는 "트위스트-패드" 타입 웨이퍼 회전 디바이스 (이는 페데스탈에서 올라와 웨이퍼를 들어 올려 회전시킨 다음 동일한 페데스탈에 다시 웨이퍼를 내려놓는 웨이퍼 지지부이다) 에 대한 회전 축의 위치 및 이러한 트위스트-패드 타입 상에 웨이퍼를 배치하기 위해 사용될 수도 있는 적절한 오프셋을 결정하기 위한 기법들에 관한 것이고, 문제의 기법들은 자체 축, 예를 들어, 인덱서 암들의 단부들에 위치된 회전 가능한 웨이퍼 지지부들을 갖는 인덱서를 중심으로 웨이퍼를 회전시키도록 사용될 수도 있는 다른 메커니즘들을 포함한다.
일단 웨이퍼 지지부 오프셋이 결정되면, 이는 결정된 인덱서 암/웨이퍼 지지부를 식별하는 정보 및/또는 웨이퍼 지지부 오프셋과 연관된 인덱서의 회전 위치, 예를 들어, 캘리브레이션 웨이퍼가 웨이퍼 지지부 상에 배치되고 웨이퍼 지지부로부터 제거될 때 인덱서가 있었던 회전 위치를 나타내는 정보와 관련하여 저장될 수도 있다 (이는 웨이퍼 아래에 포지셔닝될 인덱서 암/웨이퍼 지지부를 결정할 것이다). 트위스트-패드 타입 웨이퍼 회전 디바이스들의 경우, 예를 들어, 웨이퍼 회전 디바이스들이 챔버에 대해 제자리에 고정되고 인덱서가 챔버 및 웨이퍼 회전 디바이스들에 대해 회전하는 경우, 결정되는 웨이퍼 지지부 오프셋들은 웨이퍼 회전 디바이스들의 회전 축들에 기초하여 여전히 결정되지만, 각각 상이한 인덱서 암과 연관되지는 않는다. 이러한 경우들에서, 결정되는 웨이퍼 지지부 오프셋 각각은 웨이퍼 지지부 오프셋과 연관된 이러한 웨이퍼 회전 디바이스를 포함하는 페데스탈과 연관하여 저장될 수도 있다. 따라서, 예를 들어, 4 개의 웨이퍼 지지부 오프셋들이 결정되면, 각각은 대응하는 페데스탈에 포함된 상이한 트위스트-패드 타입 웨이퍼 회전 디바이스와 연관되고, 특정한 목적지 페데스탈들과 연관된 웨이퍼 지지부 오프셋은 웨이퍼가 특정한 목적지 페데스탈로 이송될 때 이송 페데스탈 상에 웨이퍼를 배치하도록 사용될 수도 있다. 유사한 기법들이 또한 웨이퍼 회전 디바이스 및 페데스탈이 하나이고 동일한, 예를 들어, 전체 페데스탈이 회전하도록 구성될 수도 있는 장비에서 사용될 수도 있다.
트위스트 패드 타입 웨이퍼 회전 장치에 대한 추가 논의는 미국 특허 제 9,960,068 호 및 미국 특허 제 9,892,956 호뿐만 아니라 미국 특허 공보 제 2018/0158716 호에서 찾을 수 있고, 이들 모두는 전체가 본 명세서에 참조로서 인용된다.
일단 웨이퍼 지지부 오프셋들의 완전한 세트가 결정되면, 웨이퍼 지지부 오프셋들이 결정된 멀티-스테이션 챔버는 회전 가능한 웨이퍼 지지부들을 갖는 인덱서를 활용한 인덱싱된 제자리 회전 모드 또는 정적 제자리 회전 모드를 수반하는 일반적인 웨이퍼 프로세싱 동작들에 사용될 수도 있다. 도 17은 이러한 모드를 사용한 프로세싱을 위한 준비시 웨이퍼들을 로딩하기 위한 예시적인 기법을 도시한다.
도 17에서, 기법은 웨이퍼가 로딩을 위해 선택될 수도 있는 블록 1702에서 시작할 수도 있다. 블록 1704에서, 선택된 웨이퍼는 인덱서의 선택된 목적지 웨이퍼 지지부/인덱서 암에 할당될 수도 있고; 목적지 웨이퍼 지지부/인덱서 암은 일반적으로 인덱서 암에 대한 웨이퍼의 회전 및/또는 스테이션들 간의 웨이퍼의 이동 동안 웨이퍼를 지지할 웨이퍼 지지부/인덱서 암이다. 앞서 논의된 바와 같이, 이러한 프로세싱 모드들에서 목적지 웨이퍼 지지부/인덱서 암은 일반적으로 멀티-스테이션 챔버에서 웨이퍼의 체류 시간 동안 웨이퍼에 대해 동일하게 유지될 것이다.
블록 1706에서, 인덱서의 선택된 웨이퍼 지지부/인덱서 암에 대응하는 웨이퍼 지지부 오프셋은 예를 들어, 웨이퍼 지지부 오프셋 각각을 인덱서의 대응하는 인덱서 암/웨이퍼 지지부와 연관시키는 데이터베이스에서 웨이퍼 지지부 오프셋을 검색함으로써 획득될 수도 있다. 블록 1708에서, 선택된 웨이퍼는 웨이퍼 핸들링 로봇의 엔드 이펙터 상에 로딩될 수도 있고, 이어서 블록 1710에서, 선택된 웨이퍼의 중심의 수평 위치는 멀티-스테이션 챔버의 AWC 시스템에 대해 결정될 수도 있고, 블록 1712에서, 선택된 웨이퍼에 대한 엔드 이펙터 오프셋은 AWC 시스템에 대해 선택된 웨이퍼의 중심의 수평 위치에 적어도 부분적으로 기초하여 결정될 수도 있다.
일단 선택된 웨이퍼에 대한 엔드 이펙터 오프셋이 결정되고 선택된 인덱서 암/웨이퍼 지지부에 대한 적절한 웨이퍼 지지부 오프셋이 획득되면, 웨이퍼 핸들링 로봇은 블록 1714에서 선택된 웨이퍼를 멀티-스테이션 챔버의 이송 페데스탈로 제공하도록 제어될 수도 있다 (이는 멀티-스테이션 챔버가 단일 이송 스테이션만을 갖는다고 가정하고; 복수의 이송 페데스탈들을 갖는 멀티-스테이션 챔버들은 이하에 논의됨).
블록 1716에서, 인덱서는 선택된 웨이퍼 아래에 선택된 목적지 웨이퍼 지지부를 포지셔닝하도록 선택 가능하게 회전될 수도 있다. 이 동작뿐만 아니라 블록들 1718 및 1720의 동작들은 웨이퍼들에 대한 프로세싱 동작들을 개시하기 전에, 목표된 대로, 마지막 웨이퍼가 로딩될 로딩 프로세스 동안 생략될 수도 있다. 일단 선택된 목적지 웨이퍼 지지부가 선택된 웨이퍼 아래에 위치되면, 선택된 웨이퍼는 블록 1718에서, 예를 들어, 이송 페데스탈 위에 선택된 웨이퍼를 지지하도록 사용되는 리프트 핀들을 후퇴시킴으로써 목적지 웨이퍼 지지부 상으로 선택된 웨이퍼를 하강함에 의해 목적지 웨이퍼 지지부로 이송될 수도 있다. 이어서 인덱서는, 블록 1720에서, 선택된 목적지 웨이퍼 지지부 및 이에 의해 지지된 선택된 웨이퍼를 선택된 웨이퍼가 이송 페데스탈로의 부가적인 웨이퍼들의 제공을 간섭하지 않을 위치로 회전시킬 수도 있다.
블록 1722에서, 부가적인 웨이퍼들이 멀티-스테이션 챔버 내로 로딩되어야하는지 여부에 대한 결정이 이루어질 수도 있다. 추가 웨이퍼들이 멀티-스테이션 챔버 내로 로딩될 필요가 없다면, 기법은 웨이퍼 프로세싱 동작들이 시작될 수도 있는 블록 1724로 진행할 수도 있다. 추가 웨이퍼들이 멀티-스테이션 챔버 내로 로딩되어야한다면, 기법은 상이한 웨이퍼가 선택될 수도 있고 기법이 반복되는 블록 1702로 돌아갈 수도 있다.
페데스탈 오프셋들에서와 같이, 복수의 프로세스 조건들이 다양한 웨이퍼 로딩 동작들 동안 흔히 마주칠 것으로 예상된다면, 별도의 웨이퍼 지지부 오프셋들의 세트가 프로세스 조건들 각각에 대해 결정될 수도 있어, 프로세스 조건들의 어떤 특정한 프로세스 조건이 적용 가능한지에 관계없이 웨이퍼들이 인덱서의 웨이퍼 지지부들 상에 정확하게 배치되게 할 수 있다. 게다가, 복수의 이송 페데스탈들이 멀티-스테이션 챔버 내에 제공된다면, 이송 페데스탈 각각과 연관된 상이한 웨이퍼 지지부 오프셋들의 세트 및 상이한 AWC 시스템이 있을 수도 있다, 따라서, 2 개의 이송 페데스탈 및 4 개의 암 인덱서를 갖는 4-스테이션 시스템에서, 주어진 프로세스 조건과 관련하여, 웨이퍼 지지부 오프셋들의 8 세트-제 1 이송 페데스탈에 제공될 웨이퍼들을 위한 인덱서의 인덱서 암/웨이퍼 지지부 각각에 대한 하나, 및 제 2 이송 페데스탈에 제공될 웨이퍼들을 위한 인덱서의 인덱서 암/웨이퍼 지지부 각각에 하나-가 있을 것이다.
상기 논의된 웨이퍼 배치 기법들을 사용하는 균일도 이점들은 도 18 내지 도 21과 관련하여 이하에 보다 상세히 논의된다. 도 18 내지 도 21 각각은 4 개의 스테이션들 (좌측 하단 코너에서 스테이션 번호 1로 시작하여 점진적으로 시계 방향으로 진행하는 스테이션 1, 2, 3 및 4) 을 갖는 멀티-스테이션 챔버 (1802) 를 도시한다.
도 18은 웨이퍼들 (1836) 이 인덱서 암들 에 대해 웨이퍼들을 독립적으로 회전시키지 않는 인덱서 (미도시) 에 의해 스테이션으로부터 스테이션으로 이송될 수도 있는 전통적인 인덱싱 모드의 챔버 (1802) 를 도시한다 (도 18 내지 도 21에서, 스테이션-스테이션 이동은 스테이션들 사이에서 큰 화살표로 나타내어지나, 스테이션들 사이의 보다 작고 좁은 반경의 화살표들은 이들을 지지하는 인덱서 암들에 대해 상이한 스테이션들의 프로세싱 페이즈들 사이에서의 웨이퍼들을 회전을 나타내며, 또는 원 막대 기호로 나타내는 경우, 이러한 회전이 없음을 나타낸다)
따라서, 웨이퍼들은 웨이퍼들이 현재 위치하거나 이동하는 스테이션에 상관없이, 예를 들어, 인덱서의 회전 중심에 가장 가깝게 위치된 동일한 측면 (예컨대 웨이퍼 각각의 지점 A와 지점 B 사이의 아치형 에지) 으로, 일반적으로 동일한 방사상 배향으로 유지될 것이다. 그 결과, 웨이퍼 중심들과 페데스탈 중심들 사이의 오정렬 (예를 들어, 쉽게 인지할 수 있도록 과장된, 도시된 오정렬) 은 도 18의 차트에 도시된 바와 같은 웨이퍼 불균일을 발생시킬 수도 있다.
도 19는 웨이퍼 중심 각각이 사용된 인덱서 암의 웨이퍼 지지부의 회전 축 상에 완벽하게 또는 거의 완벽하게 센터링되도록 웨이퍼들 (1836) 이 모두 이송 페데스탈에 제공되는 인덱싱된 제자리 회전 모드의 챔버 (1802) 를 도시한다. 예를 들어, 적절한 웨이퍼 지지부 오프셋을 사용하여 상기 웨이퍼를 상기 멀티-스테이션 챔버 내의 페데스탈들로 이송하는 단계; 부가적으로 그리고 웨이퍼 지지부들의 페데스탈 중심들 및 회전 축들이 모두 완벽하게 또는 거의 완벽하게 위치되어, 모든 웨이퍼 지지부들의 회전 축들이 수평면에서, 대응하는 페데스탈들의 중심들과 동시에 정렬될 수도 있다. 따라서, 웨이퍼가 어느 페데스탈로 이동되는지와 무관하게, 웨이퍼 각각의 중심은 일반적으로 페데스탈 각각의 중심과 완벽하게 정렬될 수도 있다. 이러한 시나리오는 예를 들어, 페데스탈 오프셋들 및 웨이퍼 지지부 오프셋들이 모두 동일하거나 거의 동일한 것으로 판명된다면 발생할 수 있다. 도 19의 하단의 차트에서 알 수 있는 바와 같이, 이는 매우 균일한 원주방향 웨이퍼 두께를 발생시키고, 이 경우, 웨이퍼 각각은 프로세싱의 페이즈 각각 동안 페데스탈 각각 상에 완벽하게 또는 거의 완벽하게 센터링된다. 또한, 웨이퍼 각각이 일 스테이션으로부터 다음 스테이션으로 이동될 때 그리고 또한 프로세싱 페이즈 각각 사이에서 인덱서 암들에 대해 회전될 때, 작은 불균일을 전개할 수도 있는 모든 것이 웨이퍼 각각의 4 개의 사분면들을 통해 평균화될 수도 있다.
도 20은 웨이퍼 각각이 선택된 인덱서 암에 대한 웨이퍼 지지부 오프셋을 사용하여 이송 페데스탈에 제공되고, 이에 따라 웨이퍼 각각의 중심을 각각의 웨이퍼 지지부의 회전 축과 정렬하는, 인덱싱된 회전 모드에 대한 보다 가능성 있는 시나리오를 도시하지만, 웨이퍼 지지부들의 회전 축들은 페데스탈들의 중심들과 완벽하게 정렬될 수 없다. 이는 인덱서 암들 및 멀티-스테이션 챔버가 예를 들어,도 19에 도시된 완벽한 정렬을 달성하는 것이 단순히 가능하지 않도록 상이한 양의 열 팽창을 겪을 수도 있기 때문에 발생할 수도 있다. 따라서, 웨이퍼 각각은 프로세싱 페이즈 각각 사이에서 인덱서의 제자리 회전 메커니즘에 의해 인덱서 암들에 대해 회전될 수도 있고, 이는 회전 축에 대한 그의 원래 포지셔닝으로부터 웨이퍼에 대해 선택된 웨이퍼 지지부의 회전 축에 대한 웨이퍼 중심의 "원더링 (wander)" 을 유발하지 않고 모든 페데스탈-관련 불균일을 평균화하도록 작용할 수도 있다. 결과는, 도면의 좌측 하단 코너의 차트로부터 알 수 있는 바와 같이, 웨이퍼 균일은 도 19의 이상적인 경우 만큼 균일하지 않지만, 도 18의 종래의 인덱싱 모드와 비교하여 훨씬 더 개선된다.
도 21은 웨이퍼가 이송 페데스탈에 제공되기 전에 웨이퍼 지지부 오프셋을 겪지 않는 인덱싱된 회전 모드의 챔버 (1802) 를 도시한다. 그 결과, 웨이퍼의 중심은 웨이퍼에 대해 선택된 웨이퍼 지지부의 회전 축과 정렬되지 않고, 이는 웨이퍼가 한 스테이션에서 다음 스테이션으로 이동할 때마다 회전 축에 대한 그의 시작 위치가 무엇이든 간에 웨이퍼를 "원더링"하게 한다. 이는 웨이퍼의 균일이 도 20에 대해 관찰된 것과 비교하여 현저한 불균일을 나타내게하고, 상기 기술된 기법의 이점을 입증한다.
상기 기법들은 또한 페데스탈 오프셋들 및/또는 웨이퍼 지지부 오프셋들의 사용이 즉시 명백하지 않을 수도 있는 방식으로 구현될 수도 있다는 것이 이해될 것이다. 예를 들어, 상기 논의들은 웨이퍼 배치 각각에 대한 기준 프레임으로서 기능할 수도 있고 이어서 특정한 페데스탈 또는 인덱서 웨이퍼 지지부로의 이송을 준비하기 위해 웨이퍼를 적절히 배치하도록 적절한 페데스탈 오프셋 또는 웨이퍼 지지부 오프셋과 함께 적절한 엔드 이펙터 오프셋을 사용하여 조정될 있는 (AWC 시스템에 의해 결정된 바와 같은) 웨이퍼 핸들링 로봇의 티칭 동안 사용된 캘리브레이션 웨이퍼의 중심 지점과 같은 공통 "시작 지점"에 페데스탈 오프셋 또는 웨이퍼 지지부 오프셋들을 적용하는 것에 초점을 맞추었던 반면, 상기 기법들은 또한 예를 들어, 복수의 페데스탈-특정 또는 웨이퍼 지지부-특정 시작 지점들/기준 프레임들을 사용함으로써 그리고 특정한 웨이퍼 배치를 결정될 때 사용할 이러한 적절한 시작 지점 또는 기준 프레임을 선택함으로써 구현될 수도 있다. 그러나, 그럼에도 불구하고 이러한 기법은 본질적으로 페데스탈 오프셋들 및/또는 웨이퍼 지지부 오프셋들의 개념을 사용한다는 것이 이해될 것이다. 이러한 페데스탈 특정 또는 웨이퍼 지지부 특정 시작 지점/기준 프레임 각각은 공통 기준 프레임, 예를 들어, 멀티-스테이션 챔버에 대해 공간에 고정되는 좌표 시스템의 지점으로부터 어떤 방식으로 오프셋될 것이고; 이 오프셋은 문제의 기준의 시작 지점/프레임의 특성에 따라, 페데스탈 오프셋 또는 웨이퍼 지지부 오프셋에 대응할 것이다. 따라서, 룩업되고 웨이퍼 각각의 배치를 가이드하도록 사용될 페데스탈-특정 또는 웨이퍼 지지부-특정 시작 지점들/기준 프레임들에 대해 저장된 값들은 사실상, 대응하는 페데스탈 오프셋 또는 웨이퍼 지지부 오프셋이 적용된다. 따라서, 이러한 페데스탈-특정 또는 웨이퍼 지지부-특정 시작 지점들/기준 프레임들에 기초한 웨이퍼의 모든 배치는 본질적으로 또한 적절하게 대응하는 페데스탈 오프셋 또는 웨이퍼 지지부 오프셋에 기초할 것이다.
본 명세서에 논의된 다양한 기법들은 일반적으로 예를 들어, AWC 시스템에 기초한 기준 프레임과 같은 멀티-스테이션 챔버 외부에 있는 기준 프레임에 대한 다양한 다른 위치 정보 및 웨이퍼 위치들을 결정하는 것을 수반하고 그리고 이러한 위치 정보는 사실상 웨이퍼 핸들링 로봇의 이동들에 의해 트랜스레이팅 되고, 이는 (적용될 수도 있는 다양한 오프셋들에 기초하여 잠재적으로 수정됨에도) 사실상 웨이퍼 배치 각각에 대해 동일한 변환 동작들을 수행하도록 매우 정밀하게 제어될 수도 있다는 것을 이해할 것이다 . 예를 들어, 웨이퍼 핸들링 로봇에 의해 수행된 디폴트 트랜스레이션 동작이 웨이퍼를 이송 페데스탈로 제공하도록 이동할 때 웨이퍼 핸들링 로봇의 엔드 이펙터에 대해 고정된 기준 지점으로 하여금 수평 X 축을 따른 트랜스레이션 없이 +400 ㎜ 만큼 수평 Y 축을 따라 트랜스레이팅 되게 하면, 웨이퍼 핸들링 로봇은 엔드 이펙터 오프셋, 페데스탈 오프셋, 웨이퍼 지지부 오프셋, 또는 다른 오프셋이 사용되지 않는 모든 웨이퍼 배치 동작과 함께 이러한 트랜스레이션을 수행할 것이다. 그러나, X 방향으로 -2 ㎜ 및 Y 방향으로 +3 ㎜의 엔드 이펙터 오프셋이 필요하다고 결정되고, X 방향으로 +1 ㎜ 및 Y 방향으로 -2 ㎜의 페데스탈 오프셋과 커플링되면, 웨이퍼 핸들링 로봇은 잠재적으로 3 개의 개별 (X, Y) 트랜스레이션 동작, 예를 들어, (0mm, 400mm), 이어서 (-2mm, 3mm), 이어서 (1mm, -2mm) (이러한 트랜스레이션들의 순서에 상관 없이 추구될 수 있지만 -최종 결과는 동일함). 대안적으로, 새로운 변위 벡터가 계산되고, 예를 들어, (1mm, 401mm) 이들 변위들의 합이 사용될 수도 있다. 일반적으로 말하면, AWC 시스템에 의해, 또는 이러한 좌표들에 기초하여 결정된 좌표들은 멀티-스테이션 챔버 내에서 웨이퍼들을 적절히 위치시키기 위해 이송 페데스탈과 연관된 멀티-스테이션 챔버 내 대응하는 위치들로 트랜스레이팅 되어야 할 것이다.
사용된 특정한 최초 기준 프레임의 선택은 다소 임의적일 수도 있다 -상기 예들에서, 기준 프레임은 웨이퍼 핸들링 티칭 동작 동안 획득된 데이터 지점들에 기초하여 확립되지만, 캘리브레이션 및 프로세싱을 위한 준비시 실제 웨이퍼 로딩 동작들 동안 웨이퍼들의 중심들의 수평 위치들의 측정 값을 획득하기 위해 사용된 시스템에 대해 고정되는 한 임의의 수의 프레임들이 사용될 수 있다는 것을 이해할 것이다.
본 명세서에 논의된 바와 같은 멀티-스테이션 챔버들을 포함하는 반도체 프로세싱 툴들은 서로 동작 가능하게 연결되고 예를 들어, 웨이퍼 핸들링 로봇의 이동, 통과 한 웨이퍼들로부터 측정 지점들을 획득하기 위한 AWC 시스템의 동작, 멀티-스테이션 챔버 각각 내에 있을 수도 있는 리프트 핀들, 인덱서들, 및 다른 장비, 예를 들어, 위스트 패드들 인덱서 상의 회전 가능한 웨이퍼 지지부 등의 동작을 포함한, 반도체 프로세싱 툴의 동작의 다양한 양태들을 제어하도록 구성될 수도 있는 하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 포함할 수도 있는 제어기를 포함할 수도 있다. 이러한 제어기는 예를 들어, 반도체 프로세싱 툴로 하여금 본 명세서에 논의된 임의의 동작 모드들의 멀티-스테이션 챔버 내에서 프로세싱을 수행하게 하고 그리고 또한 어느 동작 모드가 선택되는지에 따라 주어진 웨이퍼 배치에 대해 적절한 페데스탈 오프셋들 또는 웨이퍼 지지부 오프셋들을 선택하게 하는 것을 포함하여, 본 명세서에 논의된 임의의 기법들을 수행하도록 하나 이상의 프로세서들을 제어하기 위해 하나 이상의 메모리 디바이스들에 컴퓨터-실행 가능 인스트럭션들을 저장할 수도 있다. 이러한 제어기들은 또한 일부 예들에서, 페데스탈 오프셋들 및/또는 웨이퍼 지지부 오프셋들을 결정하도록 사용될 수도 있는 본 명세서에 논의된 캘리브레이션 프로세스들 중 일부 또는 전부를 수행하도록 하나 이상의 프로세서들을 제어하기 위한 컴퓨터-실행 가능 인스트럭션들로 구성될 수도 있다.
예를 들어, 웨이퍼 지지부 오프셋들을 결정하는 경우, 이러한 기법이 AWC 시스템으로부터의 데이터 및 인덱서 암 및 웨이퍼 핸들링 로봇의 포지셔닝 센서들을 사용하여 수행될 수 있고 모든 외부 장비의 사용으로부터 이익을 얻지 못할 수도 있기 때문에,도 8에 대해 논의된 모든 동작들을 수행하도록 구성될 수도 있다. 그러나, 도 5의 기법에 따라 페데스탈 오프셋들을 결정하는 경우, 제어기는도 5의 동작들 중 일부만을 수행하도록 구성될 수도 있다. 예를 들어, 결정될 적합한 페데스탈 오프셋의 추정을 허용하도록 충분한 분해능의 웨이퍼 균일도 측정 값들을 획득하는 것은 일반적으로 비용으로 인해 이러한 툴 내에 표준 장비의 일부로서 포함되지 않을 계측 기기를 필요로 할 수도 있다. 이러한 경우에, 계측 툴은 툴로부터 툴로 이동될 수도 있고, 또는 실험실 내에 위치될 수도 있고, 웨이퍼의 균일도를 별도로 평가하도록 사용될 수도 있는 별도의 장비일 수도 있다. 균일도 측정치로부터의 데이터는 제어기로 하여금 관련 페데스탈 오프셋을 결정하게 하도록 제어기로 직접 공급될 수도 있고, 또는 페데스탈 오프셋은 다른 곳에서, 예를 들어, 계측 툴 또는 획득되는 균일도 데이터에 대한 액세스를 가질 수도 있는 또 다른 컴퓨팅 디바이스에 의해 결정될 수도 있다. 이러한 경우에, 제어기는 이러한 정보가 획득된 후 목표된 페데스탈 오프셋을 나타내는 정보를 단순히 제공받을 수도 있다.
본 명세서에 논의된 멀티-스테이션 챔버들에 사용된 기법들은 또한 복수의 멀티-스테이션 챔버들을 포함하는 툴들에서 구현될 수도 있다는 것이 이해될 것이다. 도 22는 복수의 멀티-스테이션 챔버들을 갖는 예시적인 반도체 프로세싱 툴을 도시한다. 도 22에서, 도시된 반도체 프로세싱 툴은 4 개의 멀티-스테이션 챔버들 (2202) 을 포함하고, 각각은 인덱서 (2214) 를 중심으로 원형으로 배치된 4 개의 스테이션들/페데스탈들 (페데스탈들은 도시되지 않지만, 본 명세서에 도시된 다른 페데스탈들과 유사할 수도 있음) 을 갖는다. 챔버 (2202) 각각의 페데스탈들/스테이션들 중 하나는 이송 페데스탈/이송 스테이션으로 지정될 수도 있고, 로봇 암 (2248) 및 엔드 이펙터 (2250) 를 갖고 웨이퍼들이 내부의 개구부를 통해 챔버 (2202) 각각 내로 통과하게 하는 방식으로 챔버 (2202) 각각과 연결될 수도 있는 이송 챔버 (2270) 와 함께 위치하는 웨이퍼 핸들링 로봇으로 웨이퍼들을 제공하거나 웨이퍼 핸들링 로봇으로부터 웨이퍼들을 수신하도록 구성될 수도 있다. 챔버 (2202) 각각은 AWC 시스템 (2242) 을 통과할 때 웨이퍼 중심 측정 값들을 획득하기 위해 제어기 (2264) 에 의해 사용될 수도 있는 AWC 시스템 (2242) 을 가질 수도 있다. 제어기 (2264) 는 앞서 논의된 바와 같이, 하나 이상의 프로세서들 (2266) 및 하나 이상의 메모리 디바이스들 (2268) 을 가질 수도 있고, 이는 상기 논의된 다양한 피스들의 장비들과 서로 동작 가능하게 연결될 수도 있다. 이러한 구현 예에서, 웨이퍼들이 한번에 복수의 이송 페데스탈들에 제공될 수도 있도록 복수의 로봇 암들이 또한 있을 수도 있다.
상기 주지된 바와 같이, 제어기는 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱을 위한 플랫폼 또는 플랫폼들, 및/또는 특정한 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템 등)을 포함하는, 반도체 프로세싱 장비를 포함할 수 있는 시스템의 일부일 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 전에, 프로세싱 동안 및 프로세싱 후 그들의 동작을 제어하기 위해 전자 장치와 통합될 수도 있다. 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 서브 부품들을 제어할 수 있는 "제어기"로 지칭될 수도 있다. 예를 들어, 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들과 같은, 반도체 프로세싱에 영향을 줄 수 있는 다양한 파라미터들뿐 아니라, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인 에이블하고, 엔드 포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템과 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 수행될 프로세스의 타입 및 제어기가 인터페이싱하거나 제어하도록 구성된 툴의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 제어기는 예를 들어, 함께 네트워킹되고 공통 목적, 예컨대 본 명세서에 기술된 프로세스들 및 제어들을 향해 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 결합하는 (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치된 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터 그리고 툴 위치들 및/또는 로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴인터페이스들,인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 사용된 바와 같이, 용어 "웨이퍼"는 반도체 웨이퍼들 또는 기판들 또는 다른 유사한 타입들의 웨이퍼들 또는 기판들을 지칭할 수도 있다.
본 명세서에서 순서 지표들, 예를 들어, (a), (b), (c),...의 사용은 단지 조직적인 목적을 위한 것이고, 순서 지표와 관련된 항목들에 특정 순서나 중요성을 전달하도록 의도되지 않는다는 것이 또한 이해되어야한다. 예를 들어, "(a) 속도에 관한 정보를 획득하는 것 및 (b) 위치에 관한 정보를 획득하는 것" 은 속도에 관한 정보를 획득하기 전에 위치에 관한 정보를 획득하는 것, 위치에 관한 정보를 획득하기 전에 속도에 관한 정보를 획득하는 것, 및 속도에 관한 정보를 획득하는 것과 동시에 위치에 관한 정보를 획득하는 것을 포함한다. 그럼에도 불구하고, 순서 지표들과 연관된 일부 항목들이 본질적으로 특정한 시퀀스, 예를 들어, "(a) 속도에 관한 정보를 획득하는 것 (b) 속도에 관한 정보에 기초하여 제 1 가속도를 결정하는 것, 및 (c) 위치에 관한 정보 정보를 획득하는 것" 을 필요로 하기 때문에; 이 예에서, (a) 는 (b) 가 (a) 내지 (c) 에서 획득된 정보에 의존하기 때문에 수행되어야하지만, (a) 또는 (b) 중 어느 하나 전 또는 후에 수행될 수있다.
본 개시에 기술된 구현 예들에 대한 다양한 수정들은 당업자들에게 용이하게 명백할 수도 있고, 본 명세서에 규정된 일반적인 원리들은 본 개시의 정신 또는 범위로부터 벗어나지 않고 다른 구현 예들에 적용될 수도 있다. 따라서, 청구항들은 본 명세서에 도시된 구현 예들로 제한되도록 의도되지 않고, 본 개시, 본 명세서에 개시된 원리들 및 신규한 특징들과 일치하는 가장 넓은 범위에 따른다.
별도의 구현 예들의 맥락에서 본 명세서에 기술된 특정한 특징들은 또한 단일 구현 예에서 조합하여 구현될 수있다. 반대로, 단일 구현의 맥락에서 기술된 다양한 특징들은 또한 복수의 구현 예들에서 개별적으로 또는 임의의 적합한 서브-조합으로 구현될 수있다. 더욱이, 특징들이 특정한 조합들로 작용하는 것으로 상기 기술될 수도 있고 심지어 처음에 그렇게 주장될 수도 있지만, 청구된 조합으로부터 하나 이상의 특징들은 일부 경우들에서 조합으로부터 절제될 수 있고, 청구된 조합은 서브-조합 또는 또는 서브-조합의 변형으로 지향될 수도 있다.
유사하게, 동작들이 특정한 순서로 도면들에 도시되지만, 이는 바람직한 결과들을 달성하기 위해 도시된 특정한 순서로 또는 순차적인 순서로 수행되거나 모든 예시된 동작들이 수행되어야 함을 요구하는 것으로 이해되어서는 아니된다. 또한, 도면들은 흐름도의 형태로 하나 이상의 예시적인 프로세스들을 개략적으로 도시할 수도 있다. 그러나, 도시되지 않은 다른 동작들이 개략적으로 예시된 예시적인 프로세스들에 통합될 수 있다. 예를 들어, 하나 이상의 부가적인 동작들이 예시된 동작들 전, 후, 동시에 또는 임의의 예시된 동작들 사이에 수행될 수있다. 특정한 상황들에서, 멀티 태스킹 및 병렬 프로세싱이 유리할 수도 있다. 더욱이, 상기 기술된 구현 예들에서 다양한 시스템 컴포넌트들의 분리는 모든 구현 예들에서 이러한 분리를 필요로하는 것으로 이해되어서는 아니되고, 기술된 프로그램 컴포넌트들 및 시스템들은 일반적으로 단일 소프트웨어 제품으로 함께 통합되거나 복수의 소프트웨어 제품들에 패키징될 수 있다는 것이 이해되어야한다. 부가적으로, 다른 구현 예들은 이하의 청구항들의 범위 내에있다. 일부 경우들에서, 청구항들에 인용된 동작들은 상이한 순서로 수행될 수 있고 여전히 바람직한 결과들을 달성한다.

Claims (22)

  1. 인덱서 및 상기 인덱서의 회전 축에 센터링되는 (centered around a rotational axis) 원형 어레이의 N 개의 페데스탈들을 갖는 제 1 챔버로서, 상기 제 1 챔버의 상기 N 개의 페데스탈들의 페데스탈은 이송 페데스탈이고, 상기 제 1 챔버의 페데스탈 각각은 웨이퍼를 지지하도록 구성되고, N은 1 보다 큰 정수이고, 상기 제 1 챔버는 상기 이송 페데스탈과 연관된 액티브 웨이퍼 센터링 시스템을 포함하고, 그리고 상기 제 1 챔버는 멀티-스테이션 반도체 프로세싱 챔버인, 상기 제 1 챔버;
    개별 웨이퍼들을 상기 제 1 챔버의 상기 이송 페데스탈로 제공하도록 구성된 로봇 암을 갖는 웨이퍼 핸들링 로봇; 및
    하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 포함하는 제어기를 포함하고,
    상기 하나 이상의 프로세서들, 상기 하나 이상의 메모리 디바이스들, 상기 웨이퍼 핸들링 로봇, 상기 제 1 챔버의 상기 인덱서, 및 상기 제 1 챔버의 상기 액티브 웨이퍼 센터링 시스템은 서로 동작 가능하게 연결되고, 그리고
    상기 하나 이상의 메모리 디바이스들은,
    (a) 제 1 웨이퍼에 대한 상기 제 1 챔버의 상기 목적지 페데스탈로서 상기 제 1 챔버의 상기 N 개의 페데스탈들 중 하나를 선택하고;
    (b) 상기 제 1 챔버의 N 개의 페데스탈 오프셋들의 세트로부터 상기 제 1 챔버의 목적지 페데스탈과 연관된 제 1 페데스탈 오프셋을 선택하고-상기 제 1 챔버의 상기 N 개의 페데스탈 오프셋들의 세트의 페데스탈 오프셋 각각은 상기 제 1 챔버의 상기 N 개의 페데스탈들의 상이한 페데스탈과 연관됨-;
    (c) 상기 제 1 챔버의 상기 액티브 웨이퍼 센터링 시스템으로부터 상기 제 1 웨이퍼의 상기 중심의 수평 위치를 나타내는 정보를 획득하고;
    (d) 상기 제 1 웨이퍼의 상기 중심의 상기 수평 위치를 나타내는 상기 제 1 챔버의 상기 액티브 웨이퍼 센터링 시스템으로부터 획득된 상기 정보에 적어도 부분적으로 기초하여 상기 제 1 웨이퍼와 연관된 제 1 엔드 이펙터 오프셋을 결정하고; 그리고
    (e) 상기 로봇 암으로 하여금 상기 제 1 페데스탈 오프셋 및 상기 제 1 엔드 이펙터 오프셋에 기초하여 상기 제 1 챔버의 상기 이송 페데스탈로 상기 제 1 웨이퍼를 제공하게 하도록, 상기 하나 이상의 프로세서들을 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장하는, 장치.
  2. 제 1 항에 있어서,
    상기 제 1 챔버, 상기 하나 이상의 프로세서들, 및 상기 하나 이상의 메모리 디바이스들은 서로 동작 가능하게 연결되고, 그리고 상기 하나 이상의 메모리 디바이스들은,
    (f) 상기 제 1 챔버의 상기 인덱서로 하여금 상기 제 1 챔버의 상기 이송 페데스탈로부터 상기 제 1 챔버의 상기 목적지 페데스탈로 상기 제 1 웨이퍼를 이동하게 하고; 그리고
    (g) 상기 제 1 챔버로 하여금 상기 제 1 챔버의 상기 목적지 페데스탈 상의 상기 제 1 웨이퍼 상에서 하나 이상의 반도체 프로세싱 동작들을 수행하게 하도록, 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장하는, 장치.
  3. 제 1 항에 있어서,
    상기 제 1 챔버, 상기 하나 이상의 프로세서들, 및 상기 하나 이상의 메모리 디바이스들은 서로 동작 가능하게 연결되고,
    상기 제 1 챔버의 상기 이송 페데스탈은 상기 제 1 챔버의 상기 목적지 페데스탈이고, 그리고
    상기 하나 이상의 메모리 디바이스들은 상기 제 1 웨이퍼가 상기 제 1 챔버의 상기 목적지 페데스탈 상에 있는 동안 상기 제 1 챔버로 하여금 상기 제 1 웨이퍼를 프로세싱하게 하도록 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장하는, 장치.
  4. 제 1 항에 있어서,
    상기 제 1 챔버, 상기 하나 이상의 프로세서들, 및 상기 하나 이상의 메모리 디바이스들은 서로 동작 가능하게 연결되고, 그리고 상기 하나 이상의 메모리 디바이스들은,
    N 개의 웨이퍼들 각각에 대해 상기 동작 (a) 내지 상기 동작 (e) 를 수행하고-상기 제 1 챔버의 상기 N 개의 페데스탈들의 상이한 페데스탈이 상기 N 개의 웨이퍼들 각각에 대한 상기 제 1 챔버의 상기 목적지 페데스탈로서 선택됨-;
    상기 제 1 챔버의 상기 인덱서로 하여금 상기 N 개의 웨이퍼들의 상기 제 1 웨이퍼 내지 제 M 웨이퍼 각각의 웨이퍼를 상기 제 1 웨이퍼 내지 상기 M 웨이퍼의 웨이퍼 각각에 대해 선택된 상기 제 1 챔버의 상기 목적지 페데스탈로 이동하게 하고-M = N -1 이고 상기 제 1 챔버의 상기 이송 페데스탈은 상기 제 N 웨이퍼에 대한 상기 제 1 챔버의 목적지 페데스탈임-; 그리고
    상기 N 개의 웨이퍼들 각각의 웨이퍼가 상기 제 1 챔버의 상기 대응하는 목적지 페데스탈에 의해 지지되는 동안 상기 제 1 챔버로 하여금 상기 N 웨이퍼들 상에서 하나 이상의 반도체 프로세싱 동작들을 수행하게 하도록 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장하는, 장치.
  5. 제 1 항에 있어서,
    상기 하나 이상의 메모리 디바이스들은,
    (f) 제 1 캘리브레이션 웨이퍼에 대한 상기 제 1 챔버의 목적지 캘리브레이션 페데스탈로서 상기 제 1 챔버의 상기 N 개의 페데스탈들 중 하나를 선택하고;
    (g) 상기 액티브 웨이퍼 센터링 시스템으로부터 상기 제 1 캘리브레이션 웨이퍼의 상기 중심의 수평 위치를 나타내는 정보를 획득하고;
    (h) 상기 로봇 암으로 하여금 상기 제 1 캘리브레이션 웨이퍼를 상기 제 1 챔버의 상기 이송 페데스탈로 제공하게 하고;
    (i) 상기 제 1 챔버로 하여금 상기 제 1 캘리브레이션 웨이퍼 상에서 하나 이상의 반도체 프로세싱 동작들을 수행하는 것을 포함하는 캘리브레이션 프로세스를 수행하게 하고; 그리고
    (j) 상기 제 1 캘리브레이션 웨이퍼의 상기 중심의 상기 수평 위치 및 상기 동작 (i) 에서의 상기 캘리브레이션 프로세스의 수행으로부터 발생하는 상기 제 1 캘리브레이션 웨이퍼의 불균일을 나타내는 정보에 적어도 부분적으로 기초하여 상기 제 1 챔버의 상기 목적지 캘리브레이션 페데스탈에 대한 예비 페데스탈 오프셋 (preliminary pedestal offset) 을 획득하도록, 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장하는, 장치.
  6. 제 5 항에 있어서,
    상기 하나 이상의 메모리 디바이스들은 상기 제 1 챔버의 상기 인덱서로 하여금 상기 동작 (h) 와 상기 동작 (i) 의 수행 사이에서 상기 제 1 챔버의 상기 이송 페데스탈로부터 상기 제 1 챔버의 상기 목적지 캘리브레이션 페데스탈로 상기 제 1 캘리브레이션 웨이퍼를 이동시키도록 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장하는, 장치.
  7. 제 5 항에 있어서,
    상기 하나 이상의 메모리 디바이스들은 상기 제 1 챔버의 상기 목적지 캘리브레이션 페데스탈에 대한 상기 페데스탈 오프셋으로서 상기 예비 페데스탈 오프셋을 사용하도록 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장하는, 장치.
  8. 제 5 항에 있어서,
    상기 하나 이상의 메모리 디바이스들은,
    (k) 상기 제 1 챔버의 상기 이송 페데스탈과 연관된 상기 액티브 웨이퍼 센터링 시스템으로부터 제 2 캘리브레이션 웨이퍼의 상기 중심의 수평 위치를 나타내는 정보를 획득하고;
    (l) 상기 로봇 암으로 하여금 상기 제 2 캘리브레이션 웨이퍼를 상기 제 1 챔버의 상기 이송 페데스탈로 제공하게 하고;
    (m) 상기 제 1 챔버로 하여금 상기 제 2 캘리브레이션 웨이퍼 상에서 상기 캘리브레이션 프로세스를 수행하게 하고; 그리고
    (n) 상기 제 2 캘리브레이션 웨이퍼의 불균일을 나타내는 정보, 상기 제 1 캘리브레이션 웨이퍼의 불균일을 나타내는 정보, 상기 제 1 캘리브레이션 웨이퍼의 상기 중심의 상기 수평 위치, 및 상기 제 2 캘리브레이션 웨이퍼의 상기 중심의 상기 수평 위치에 적어도 부분적으로 기초하여 상기 목적지 캘리브레이션 페데스탈에 대한 상기 페데스탈 오프셋을 결정하도록 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장하는, 장치.
  9. 제 1 항에 있어서,
    상기 제 1 챔버의 상기 N 개의 페데스탈들 중 하나는 보조 (secondary) 이송 페데스탈이고, 상기 제 1 챔버는 상기 보조 이송 페데스탈과 연관된 보조 액티브 웨이퍼 센터링 시스템을 포함하고, 상기 웨이퍼 핸들링 로봇은 상기 제 1 챔버의 상기 보조 이송 페데스탈로 개별 웨이퍼들을 제공하도록 구성된 부가적인 로봇 암을 갖고; 그리고 상기 하나 이상의 메모리 디바이스들은,
    (f) 부가적인 웨이퍼에 대한 상기 제 1 챔버의 목적지 페데스탈로서 상기 제 1 챔버의 상기 N 개의 페데스탈들 중 하나를 선택하고;
    (g) 상기 제 1 챔버의 N 개의 제 2 페데스탈 오프셋들로부터 상기 제 1 챔버의 상기 목적지 페데스탈과 연관된 대응하는 페데스탈 오프셋을 선택하고-상기 제 1 챔버의 페데스탈 오프셋 각각은 상기 제 1 챔버의 상기 N 개의 페데스탈들의 상이한 페데스탈과 연관됨-;
    (h) 상기 제 1 챔버의 상기 보조 액티브 웨이퍼 센터링 시스템으로부터 상기 부가적인 웨이퍼의 상기 중심의 수평 위치를 나타내는 정보를 획득하고;
    (i) 상기 부가적인 웨이퍼의 상기 중심의 상기 수평 위치를 나타내는 상기 제 1 챔버의 상기 보조 액티브 웨이퍼 센터링 시스템으로부터 획득된 상기 정보에 적어도 부분적으로 기초하여 상기 부가적인 웨이퍼와 연관된 제 2 엔드 이펙터 오프셋을 결정하고; 그리고
    (j) 상기 부가적인 로봇 암으로 하여금 상기 페데스탈 오프셋 및 상기 제 2 엔드 이펙터 오프셋에 기초하여 상기 제 1 챔버의 보조 이송 페데스탈에 상기 부가적인 웨이퍼를 제공하도록, 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장하는, 장치.
  10. 제 1 항에 있어서,
    인덱서 및 상기 인덱서의 회전 축에 센터링되는 원형 어레이의 R 개의 페데스탈들을 갖는 제 2 챔버로서, 상기 제 2 챔버의 R 개의 페데스탈들 중 하나는 상기 제 2 챔버의 이송 페데스탈이고, 상기 제 2 챔버의 페데스탈 각각은 웨이퍼를 지지하도록 구성되고, R은 1 보다 큰 정수이고, 상기 제 2 챔버는 상기 제 2 챔버의 상기 이송 페데스탈과 연관된 액티브 웨이퍼 센터링 시스템을 포함하고, 그리고 상기 제 2 챔버는 멀티-스테이션 반도체 프로세싱 챔버인, 상기 제 2 챔버를 더 포함하고,
    상기 하나 이상의 프로세서들, 상기 하나 이상의 메모리 디바이스들, 상기 웨이퍼 핸들링 로봇, 상기 제 2 챔버의 상기 인덱서, 및 상기 제 2 챔버의 상기 액티브 웨이퍼 센터링 시스템은 서로 동작 가능하게 연결되고, 그리고
    상기 하나 이상의 메모리 디바이스들은,
    (f) 부가적인 웨이퍼를 위한 상기 제 2 챔버의 목적지 페데스탈로서 상기 제 2 챔버의 상기 R 개의 페데스탈들 중 하나를 선택하고;
    (g) 상기 제 2 챔버의 R 개의 제 2 페데스탈 오프셋들의 세트로부터 상기 제 2 챔버의 목적지 페데스탈과 연관된 대응하는 제 2 페데스탈 오프셋을 선택하고-상기 제 2 챔버의 제 2 페데스탈 오프셋 각각은 상기 제 2 챔버의 상기 R 개의 페데스탈들의 상이한 페데스탈과 연관됨-;
    (h) 상기 부가적인 웨이퍼의 중심의 수평 위치를 나타내는 정보를 상기 제 2 챔버의 상기 액티브 웨이퍼 센터링 시스템으로부터 획득하고;
    (i) 상기 부가적인 웨이퍼의 상기 중심의 상기 수평 위치를 나타내는 상기 제 2 챔버의 상기 액티브 웨이퍼 센터링 시스템으로부터 획득된 상기 정보에 적어도 부분적으로 기초하여 상기 부가적인 웨이퍼와 연관된 제 2 엔드 이펙터 오프셋을 결정하고; 그리고
    (j) 상기 로봇 암으로 하여금 상기 제 2 페데스탈 오프셋 및 상기 제 2 엔드 이펙터 오프셋에 기초하여 상기 제 2 챔버의 상기 이송 페데스탈에 상기 부가적인 웨이퍼를 제공하게 하도록, 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장하는, 장치.
  11. 제 1 항에 있어서,
    상기 인덱서 암은 복수의 인덱서 암들을 포함하고,
    인덱서 암 각각은 일 단부에서 상기 인덱서의 중심 허브에 연결되고 다른 단부에서 그 인덱서에 대해 대응하는 회전 축을 중심으로 회전하도록 구성된 웨이퍼 지지부를 갖고,
    상기 하나 이상의 메모리 디바이스들은,
    (f) 제 2 웨이퍼에 대한 상기 제 1 챔버의 목적지 웨이퍼 지지부로서 상기 인덱서 암들에 의해 지지된 상기 복수의 웨이퍼 지지부들로부터 웨이퍼 지지부를 선택하고;
    (g) N 개의 웨이퍼 지지부 오프셋들의 세트로부터 상기 제 1 챔버의 목적지 웨이퍼 지지부와 연관된 제 1 웨이퍼 지지부 오프셋을 선택하고-웨이퍼 지지부 오프셋 각각은 상기 복수의 웨이퍼 지지부들의 상이한 웨이퍼 지지부와 연관됨-;
    (h) 상기 제 1 챔버의 상기 액티브 웨이퍼 센터링 시스템으로부터 상기 제 2 웨이퍼의 상기 중심의 상기 수평 위치를 나타내는 정보를 획득하고;
    (i) 상기 제 2 웨이퍼의 상기 중심의 상기 수평 위치를 나타내는 상기 제 1 챔버의 상기 액티브 웨이퍼 센터링 시스템으로부터 획득된 정보에 적어도 부분적으로 기초하여 상기 제 2 웨이퍼와 연관된 제 1 엔드 이펙터 오프셋을 결정하고; 그리고
    (j) 상기 웨이퍼 핸들링 로봇으로 하여금 상기 제 1 웨이퍼 지지부 오프셋 및 상기 제 1 엔드 이펙터 오프셋에 적어도 부분적으로 기초하여 상기 제 1 챔버의 상기 이송 페데스탈로 상기 제 2 웨이퍼를 제공하게 하도록, 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장하는, 장치.
  12. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 하나 이상의 메모리 디바이스들은 상기 제 1 챔버의 상기 N 개의 페데스탈들의 페데스탈 각각에 대해,
    상기 제 1 챔버의 상기 페데스탈과 연관된 상기 페데스탈 오프셋을 획득하고; 그리고
    페데스탈 오프셋 각각을 상기 제 1 챔버의 상기 N 개의 페데스탈들 중 상기 대응하는 페데스탈과 연관시키는 데이터 구조에 상기 제 1 챔버의 상기 페데스탈과 연관된 상기 페데스탈 오프셋을 저장하도록, 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장하는, 장치.
  13. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    N은 4와 같은, 장치.
  14. 제 1 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 하나 이상의 메모리 디바이스들은,
    상기 챔버에 대한 프로세스 조건을 결정하고, 그리고
    상기 프로세스 조건과 연관된 상기 제 1 챔버의 N 개의 페데스탈 오프셋들의 세트로부터 상기 제 1 챔버의 상기 목적지 페데스탈과 연관된 상기 제 1 페데스탈 오프셋을 선택함으로써 상기 동작 (b) 를 수행하도록-상기 제 1 챔버의 N 개의 페데스탈 오프셋들의 복수의 세트들이 있으며, 각각은 상이한 프로세스 조건과 연관됨-상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장하는, 장치.
  15. 인덱서 및 상기 인덱서의 회전 축에 센터링된 원형 어레이의 N 개의 페데스탈들을 갖는 제 1 챔버로서, 상기 제 1 챔버의 상기 N 개의 페데스탈들의 페데스탈은 이송 페데스탈이고, 상기 제 1 챔버의 페데스탈 각각은 웨이퍼를 지지하도록 구성되고, N은 1 보다 큰 정수이고, 상기 제 1 챔버는 상기 이송 페데스탈과 연관된 액티브 웨이퍼 센터링 시스템을 포함하고, 상기 제 1 챔버는 멀티-스테이션 반도체 프로세싱 챔버이고, 그리고 상기 인덱서는 N 개의 인덱서 암들을 갖고, 인덱서 암 각각은 (i) 제 1 축을 중심으로 회전하도록 구성된 중심 허브와 연결된 근위 단부 및 (ii) 그 인덱서 암에 대해 대응하는 제 2 축을 중심으로 회전하도록 구성된 웨이퍼 지지부를 지지하는 원위 단부를 갖는, 상기 제 1 챔버;
    개별 웨이퍼들을 상기 제 1 챔버의 상기 이송 페데스탈로 제공하도록 구성된 로봇 암을 갖는 웨이퍼 핸들링 로봇; 및
    하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 포함하는 제어기를 포함하고,
    상기 하나 이상의 프로세서들, 상기 하나 이상의 메모리 디바이스들, 상기 웨이퍼 핸들링 로봇, 상기 제 1 챔버의 상기 인덱서, 및 상기 제 1 챔버의 상기 액티브 웨이퍼 센터링 시스템은 서로 동작 가능하게 연결되고, 그리고
    상기 하나 이상의 메모리 디바이스들은,
    (a) 제 1 웨이퍼에 대한 상기 제 1 챔버의 목적지 웨이퍼 지지부로서 상기 인덱서 암들에 의해 지지된 상기 복수의 웨이퍼 지지부들로부터 웨이퍼 지지부를 선택하고;
    (b) N 개의 웨이퍼 지지부 오프셋들의 세트로부터 상기 제 1 챔버의 상기 목적지 웨이퍼 지지부와 연관된 제 1 웨이퍼 지지부 오프셋을 선택하고- 웨이퍼 지지부 오프셋 각각은 상기 복수의 웨이퍼 지지부들 중 상이한 웨이퍼 지지부와 연관됨-;
    (c) 상기 제 1 챔버의 상기 액티브 웨이퍼 센터링 시스템으로부터 상기 제 1 웨이퍼의 상기 중심의 수평 위치를 나타내는 정보를 획득하고;
    (d) 상기 제 1 웨이퍼의 상기 중심의 상기 수평 위치를 나타내는 상기 제 1 챔버의 상기 액티브 웨이퍼 센터링 시스템으로부터 획득된 상기 정보에 적어도 부분적으로 기초하여 상기 제 1 웨이퍼와 연관된 제 1 엔드 이펙터 오프셋을 결정하고; 그리고
    (e) 상기 웨이퍼 핸들링 로봇으로 하여금 상기 제 1 웨이퍼 지지부 오프셋 및 상기 제 1 엔드 이펙터 오프셋에 적어도 부분적으로 기초하여 상기 제 1 챔버의 상기 이송 페데스탈로 상기 제 1 웨이퍼를 제공하게 하도록, 상기 하나 이상의 프로세서들을 제어하기 위한 컴퓨터-실행 가능 인스트럭션들을 저장하는, 장치.
  16. 제 15 항에 있어서,
    상기 하나 이상의 메모리 디바이스들은,
    (f) 상기 동작 (e) 후에, 상기 목적지 웨이퍼 지지부의 상기 대응하는 상기 제 2 축이 상기 제 1 웨이퍼의 상기 중심과 정렬되도록 상기 인덱서로 하여금 회전하게 하고,
    (g) 상기 제 1 웨이퍼로 하여금 상기 목적지 웨이퍼 지지부의 상기 제 2 축과 정렬된 상기 제 1 웨이퍼의 상기 중심을 갖는 상기 목적지 웨이퍼 지지부 상에 배치되게 하고,
    (h) 상기 인덱서로 하여금, 상기 동작 (g) 후에, 상기 이송 페데스탈을 갖는 상기 제 1 챔버의 스테이션으로부터 상기 이송 페데스탈 이외의 페데스탈을 갖는 상기 제 1 챔버의 또 다른 스테이션으로 상기 제 1 웨이퍼를 이동시키도록 회전하게 하고,
    (i) 상기 제 1 웨이퍼로 하여금 상기 다른 스테이션의 상기 페데스탈 상에 위치되게 하고,
    (j) 상기 제 1 웨이퍼에 대한 상기 웨이퍼 지지부로 하여금 상기 동작 (g) 와 상기 동작 (i) 사이에서 상기 웨이퍼 지지부를 지지하는 상기 인덱서 암에 대해 상기 대응하는 제 2 축을 중심으로 회전하게 하고, 그리고
    (k) 상기 제 1 웨이퍼가 상기 다른 스테이션의 상기 페데스탈 상에 있는 동안 상기 제 1 챔버로 하여금 상기 제 1 웨이퍼 상에서 하나 이상의 반도체 프로세싱 동작들을 수행하게 하도록, 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장하는, 장치.
  17. 제 15 항에 있어서,
    상기 하나 이상의 메모리 디바이스들은, 제 2 웨이퍼 내지 제 N 웨이퍼의 웨이퍼 각각에 대해,
    (f) 상기 웨이퍼에 대한 상기 제 1 챔버의 목적지 웨이퍼 지지부로서 상기 인덱서 암들에 의해 지지된 상기 복수의 웨이퍼 지지부들로부터 웨이퍼 지지부를 선택하고;
    (g) 상기 N 개의 웨이퍼 지지부 오프셋들의 세트로부터 상기 웨이퍼에 대한 상기 제 1 챔버의 상기 목적지 웨이퍼 지지부와 연관된 대응하는 웨이퍼 지지부 오프셋을 선택하고;
    (h) 상기 제 1 챔버의 상기 액티브 웨이퍼 센터링 시스템으로부터 상기 웨이퍼의 중심의 수평 위치를 나타내는 정보를 획득하고;
    (i) 상기 웨이퍼의 상기 중심의 상기 수평 위치를 나타내는 상기 제 1 챔버의 상기 액티브 웨이퍼 센터링 시스템으로부터 획득된 상기 정보에 적어도 부분적으로 기초하여 상기 웨이퍼에 대한 대응하는 엔드 이펙터 오프셋을 결정하고;
    (j) 상기 웨이퍼 핸들링 로봇으로 하여금 상기 웨이퍼에 대한 상기 대응하는 웨이퍼 지지부 오프셋 및 상기 웨이퍼에 대한 상기 대응하는 엔드 이펙터 오프셋에 적어도 부분적으로 기초하여 상기 제 1 챔버의 상기 이송 페데스탈로 상기 웨이퍼를 제공하게 하고;
    (k) 상기 동작 (j) 후에, 상기 웨이퍼에 대한 상기 목적지 웨이퍼 지지부의 상기 대응하는 제 2 축이 상기 웨이퍼의 중심과 정렬되도록 상기 인덱서로 하여금 회전하게 하고; 그리고
    (l) 상기 웨이퍼로 하여금 상기 웨이퍼에 대한 상기 목적지 웨이퍼 지지부의 상기 제 2 축과 정렬된 상기 웨이퍼의 상기 중심을 갖는 상기 웨이퍼에 대한 상기 목적지 웨이퍼 지지부 상에 배치되게 하도록, 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장하는, 장치.
  18. 제 17 항에 있어서,
    상기 제 1 챔버의 페데스탈 각각은 상기 제 1 챔버의 대응하는 스테이션과 연관되고, 그리고
    상기 하나 이상의 메모리 디바이스들은,
    (m) 상기 동작 (l) 후에, 상기 인덱서로 하여금 상기 N 개의 웨이퍼들 각각이 동작 (m) 이전에 있던 상기 제 1 챔버의 상기 스테이션으로부터 상기 N 개의 웨이퍼들 중 다른 하나가 동작 (m) 이전에 있던 상기 제 1 챔버의 스테이션으로 상기 N 개의 웨이퍼들 각각을 일제히 이동시키게 하고;
    (n) 상기 N 개의 웨이퍼들 각각으로 하여금 상기 웨이퍼가 상기 동작 (m) 에서 이동된 상기 스테이션의 상기 페데스탈 상에 배치되게 하고;
    (o) 상기 동작 (l) 과 상기 동작 (n) 사이에서, 상기 웨이퍼들 각각에 대한 상기 목적지 웨이퍼 지지부로 하여금 상기 목적지 웨이퍼 지지부를 지지하는 상기 인덱서 암에 대해 상기 목적지 웨이퍼 지지부의 상기 제 2 축을 중심으로 상기 대응하는 웨이퍼를 회전시키게 하고; 그리고
    (p) 상기 제 1 챔버로 하여금 상기 동작 (n) 후에 상기 N 개의 웨이퍼들 상에서 하나 이상의 프로세싱 동작들을 수행하게 하도록, 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장하는, 장치.
  19. 제 18 항에 있어서,
    상기 하나 이상의 메모리 디바이스들은,
    상기 동작 (l) 내지 상기 동작 (p) 을 1 회 이상 반복하도록 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장하는, 장치.
  20. 제 18 항에 있어서,
    상기 하나 이상의 메모리 디바이스들은,
    상기 동작 (l) 내지 상기 동작 (p) 를 N 회 이상 반복하도록, 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장하는, 장치.
  21. 제 15 항에 있어서,
    상기 하나 이상의 메모리 디바이스들은,
    (f) 상기 N 개의 웨이퍼 지지부들 중 하나를 제 1 캘리브레이션 웨이퍼에 대한 상기 제 1 챔버의 목적지 캘리브레이션 웨이퍼 지지부로서 선택하고;
    (g) 상기 액티브 웨이퍼 센터링 시스템으로부터 상기 제 1 캘리브레이션 웨이퍼의 상기 중심의 상기 수평 위치를 나타내는 정보를 획득하고;
    (h) 상기 로봇 암으로 하여금 상기 제 1 캘리브레이션 웨이퍼를 상기 제 1 챔버의 상기 이송 페데스탈로 제공하게 하고;
    (i) 상기 목적지 캘리브레이션 웨이퍼 지지부가 상기 제 1 캘리브레이션 웨이퍼 아래에 위치되도록 상기 인덱서로 하여금 회전하게 하고;
    (j) 상기 제 1 캘리브레이션 웨이퍼로 하여금 상기 목적지 캘리브레이션 웨이퍼 지지부 상에 배치되게 하고;
    (k) 상기 목적지 캘리브레이션 웨이퍼 지지부 및 이에 의해 지지된 상기 제 1 캘리브레이션 웨이퍼로 하여금 제 1 각도량 만큼 제 1 방향으로 상기 대응하는 제 2 축을 중심으로 회전하게 하고;
    (l) 상기 로봇 암으로 하여금 상기 제 1 챔버로부터 상기 제 1 캘리브레이션 웨이퍼를 회수하게 하고;
    (m) 상기 제 1 캘리브레이션 웨이퍼의 상기 중심의 업데이트된 수평 위치를 나타내는 정보를 상기 액티브 웨이퍼 센터링 시스템으로부터 획득하고;
    (n) 상기 제 1 캘리브레이션 웨이퍼의 상기 중심의 상기 수평 위치, 상기 제 1 캘리브레이션 웨이퍼의 상기 중심의 상기 업데이트된 수평 위치, 상기 제 1 각도량, 및 상기 제 1 방향에 적어도 부분적으로 기초하여 상기 목적지 캘리브레이션 웨이퍼 지지부에 대한 웨이퍼 지지부 오프셋을 결정하고; 그리고
    (o) 상기 목적지 캘리브레이션 웨이퍼 지지부인 웨이퍼 지지부와 연관된 상기 목적지 캘리브레이션 웨이퍼 지지부에 대한 상기 웨이퍼 지지부 오프셋을 데이터 구조에 저장하도록, 상기 하나 이상의 프로세서들을 더 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장하는, 장치.
  22. 제 22 항에 있어서,
    상기 하나 이상의 메모리 디바이스들은 반복 각각 동안 목적지 캘리브레이션 웨이퍼 지지부로서 웨이퍼 지지부들의 상이한 지지부를 사용하여 상기 동작 (f) 내지 상기 동작 (o) 을 1 회 내지 N 회 반복하도록 상기 하나 이상의 프로세서들을 제어하기 위한 부가적인 컴퓨터-실행 가능 인스트럭션들을 저장하는, 장치.
KR1020217035322A 2019-03-29 2020-03-27 인덱싱된 (indexed) 멀티-스테이션 프로세싱 챔버들 내에서 웨이퍼 배치 보정 KR20210134828A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962826761P 2019-03-29 2019-03-29
US62/826,761 2019-03-29
PCT/US2020/025389 WO2020205586A1 (en) 2019-03-29 2020-03-27 Wafer placement correction in indexed multi-station processing chambers

Publications (1)

Publication Number Publication Date
KR20210134828A true KR20210134828A (ko) 2021-11-10

Family

ID=72666401

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217035322A KR20210134828A (ko) 2019-03-29 2020-03-27 인덱싱된 (indexed) 멀티-스테이션 프로세싱 챔버들 내에서 웨이퍼 배치 보정

Country Status (6)

Country Link
US (1) US20220172967A1 (ko)
JP (1) JP2022527940A (ko)
KR (1) KR20210134828A (ko)
CN (1) CN113906546A (ko)
SG (1) SG11202110712SA (ko)
WO (1) WO2020205586A1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10796940B2 (en) 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same
US11749554B2 (en) * 2020-11-05 2023-09-05 Sandisk Technologies Llc Multi-wafer deposition tool for reducing residual deposition on transfer blades and methods of operating the same
CN115943485A (zh) * 2021-04-27 2023-04-07 朗姆研究公司 具有晶片定心功能的旋转转位器
WO2023141006A1 (en) * 2022-01-19 2023-07-27 Lam Research Corporation Wafer transfer paddles with minimum contact area structures for reduced backside marking
CN115939008B (zh) * 2023-01-06 2023-05-30 无锡先为科技有限公司 晶片校正机构及半导体制造设备
CN116246991B (zh) * 2023-05-12 2023-07-11 深圳市诺泰芯装备有限公司 一种芯片产品靠边定位方法及装置
CN117457559B (zh) * 2023-12-22 2024-03-15 上海谙邦半导体设备有限公司 一种晶圆搬运方法、装置、真空反应腔及工艺腔室

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5855465A (en) * 1996-04-16 1999-01-05 Gasonics International Semiconductor wafer processing carousel
US8980769B1 (en) * 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
JP5490741B2 (ja) * 2011-03-02 2014-05-14 東京エレクトロン株式会社 基板搬送装置の位置調整方法、及び基板処理装置
US20160358808A1 (en) * 2015-06-02 2016-12-08 Lam Research Corporation Hybrid 200 mm/300 mm semiconductor processing apparatuses
US11024531B2 (en) * 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system

Also Published As

Publication number Publication date
US20220172967A1 (en) 2022-06-02
SG11202110712SA (en) 2021-10-28
WO2020205586A1 (en) 2020-10-08
TW202105560A (zh) 2021-02-01
JP2022527940A (ja) 2022-06-07
CN113906546A (zh) 2022-01-07

Similar Documents

Publication Publication Date Title
KR20210134828A (ko) 인덱싱된 (indexed) 멀티-스테이션 프로세싱 챔버들 내에서 웨이퍼 배치 보정
JP7430668B2 (ja) オンザフライ方式の自動ウェハセンタリング方法および装置
JP4674705B2 (ja) 搬送システムの搬送位置合わせ方法及び搬送システム
US9966290B2 (en) System and method for wafer alignment and centering with CCD camera and robot
US11239100B2 (en) Auto-calibration to a station of a process module that spins a wafer
KR101312789B1 (ko) 웨이퍼의 위치 결정 방법
US11581214B2 (en) Enhanced automatic wafer centering system and techniques for same
KR880001438B1 (ko) 반도체 기상성장장치
JP2015211206A (ja) 基板処理装置および基板処理方法
JP2005262367A (ja) 搬送ロボットの搬送ズレ確認方法及び処理装置
CN114758975A (zh) 在传输中自动晶圆定中方法及设备
JP2008251968A (ja) ウエハ処理装置の運転方法
TWI836042B (zh) 半導體處理設備
TWI835911B (zh) 用於晶圓搬運的設備、方法、和非暫態電腦可讀媒體
TW202132196A (zh) 基板轉移方法及設備

Legal Events

Date Code Title Description
A201 Request for examination