TW201714145A - 基於視覺的晶圓切口位置測量 - Google Patents

基於視覺的晶圓切口位置測量 Download PDF

Info

Publication number
TW201714145A
TW201714145A TW105123516A TW105123516A TW201714145A TW 201714145 A TW201714145 A TW 201714145A TW 105123516 A TW105123516 A TW 105123516A TW 105123516 A TW105123516 A TW 105123516A TW 201714145 A TW201714145 A TW 201714145A
Authority
TW
Taiwan
Prior art keywords
wafer
edge
image
wafer alignment
angular offset
Prior art date
Application number
TW105123516A
Other languages
English (en)
Inventor
古斯塔沃 G 弗蘭肯
布蘭登 西恩
彼得 濤磊
陳焯智
理查 K 里昂
克里斯俊 迪彼得羅
克利斯多福 M 巴特利特
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201714145A publication Critical patent/TW201714145A/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8803Visual inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/70Determining position or orientation of objects or cameras
    • G06T7/73Determining position or orientation of objects or cameras using feature-based methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N25/00Circuitry of solid-state image sensors [SSIS]; Control thereof
    • H04N25/70SSIS architectures; Circuits associated therewith
    • H04N25/71Charge-coupled device [CCD] sensors; Charge-transfer registers specially adapted for CCD sensors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S901/00Robots
    • Y10S901/02Arm motion controller
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S901/00Robots
    • Y10S901/46Sensing device
    • Y10S901/47Optical

Abstract

晶圓對準系統包含影像拍攝裝置,拍攝位於基座上之晶圓之影像。影像分析模組分析該影像,以檢測晶圓之邊緣及形成在晶圓之邊緣中之切口,並且基於切口之位置而計算對應至晶圓之邊緣之第一及第二邊緣位置。偏位計算模組基於該第一位置及該第二邊緣位置而計算該晶圓之角度偏位。系統控制模組基於該角度偏位而控制該晶圓從基座傳送至處理槽。

Description

基於視覺的晶圓切口位置測量
本揭露內容係關於基板處理系統,具體而言,關於用於放置半導體晶圓在基板處理系統中之系統及方法。
本文中所提出之背景說明之目的為大致描述本揭露內容之背景。目前列名發明人之作品,在此背景一節中所述之範圍,以及可能未在申請時以其他方式適格做為先前技術之說明的實施態樣,並未被明確或隱含地承認為本揭露內容之先前技藝。
基板處理系統可用於執行蝕刻及∕或其它基板(例如半導體晶圓)之處理。可在基板上執行之示例性處理包含,但不限於,電漿輔助化學氣相沉積(PECVD)處理、化學輔助電漿氣相沉積(CEPVD)處理、濺射物理氣相沉積(PVD)處理、離子植入處理、及∕或其它蝕刻(例如,化學蝕刻、電漿蝕刻、反應性離子蝕刻、等)、沉積、及清潔處理。基板可配置在晶圓排列基體上,例如,在基板處理系統中之處理腔室中之基座上。僅做為範例,在蝕刻期間,將包含一或更多前驅物之氣體混合物引入至處理腔室中,並且點燃電漿以蝕刻基板。
負載鎖室(例如,入站或出站負載鎖室)或其它傳送工具可用於將半導體晶圓從大氣環境傳送至真空環境(亦即,從處理腔室之外部至處理腔室中),反之亦然。負載鎖室本身可包含具有基座之真空腔室。晶圓係配置在基座上(並且傳送至其及由其傳送)。例如,可將晶圓從基座傳送至在基板處理系統中之處理腔室之鍍覆或其它處理槽,以用於沉積、蝕刻、等等。基座將晶圓抬高至機械臂(例如,機械臂之末端作用器)上及離開機械臂,機械臂係用於傳送晶圓於負載鎖室與處理槽之間。
晶圓對準系統包含影像拍攝裝置,拍攝位於基座上之晶圓之影像。影像分析模組分析該影像,以檢測晶圓之邊緣及形成在晶圓之邊緣中之切口,並且基於切口之位置而計算對應至晶圓之邊緣之第一及第二邊緣位置。偏位計算模組基於該第一位置及該第二邊緣位置而計算該晶圓之角度偏位。系統控制模組基於該角度偏位而控制該晶圓從基座傳送至處理槽。
晶圓對準方法,包含:拍攝位於基座上之晶圓之影像;分析該影像,以檢測晶圓之邊緣及形成在晶圓之邊緣中之切口;基於該切口之位置,計算對應至晶圓之邊緣之第一及第二邊緣位置;基於該第一位置及該第二邊緣位置,計算該晶圓之一角度偏位;及基於該角度偏位,控制該晶圓從基座傳送至處理槽。
根據實施方式、申請專利範圍及圖式,本揭露內容之進一步應用範圍將變得顯而易見。實施方式及特定範例僅用於說明之目的,並非用於限制本揭露內容之範圍。
半導體晶圓(例如,300mm晶圓)係放置在負載鎖室之基座上,待傳送至或傳送出處理腔室。在基座上對準晶圓,以容許準確的拍攝及∕或使用機械臂或其它工具而傳送晶圓。晶圓之對準係利用形成在晶圓之外邊緣中之切口而達成。可使用各種類型的晶圓對準器,以當晶圓旋轉(例如,使用包含基座之旋轉夾盤)時,檢測切口相對於基座之位置。例如,當利用夾盤而使晶圓緩慢地旋轉時,感測器可檢測切口。基於所檢測的切口而計算切口位置及晶圓偏位並且提供至機械臂。
根據本揭露內容之原理之晶圓對準系統及方法提供影像拍攝裝置(例如,攝影機),以拍攝在基座上之靜止晶圓之影像。具體而言,攝影機拍攝包含切口之晶圓邊緣之影像。影像分析模組分析所拍攝的影像,以確定晶圓之切口及晶圓之邊緣相對於切口之位置。偏位計算模組利用晶圓之切口及邊緣之位置而計算晶圓相對於期望位置之角度偏位。例如,期望位置可對應至校正參考位置。
現在參考圖1,顯示用於使用RF電漿而執行蝕刻之基板處理系統100之範例。基板處理系統100包含處理腔室102,處理腔室102圍住基板處理腔室102之其它構件並且容納RF電漿。基板處理腔室102包含上電極104及基座106,基座106包含下電極107。邊緣連結環103由基座106所支撐並且配置在基板108周圍。一或更多致動器105可用於移動邊緣連結環103。在操作期間,基板108係配置在基座106上在上電極104與下電極107之間。
僅做為範例,上電極104可包含噴淋頭109,噴淋頭109引入及分配處理氣體。噴淋頭109可包含柄部,柄部包含連接至處理腔室之頂表面之一端。基部通常是圓柱形的,並且徑向地從柄部之相反端朝外延伸,基部之位置與處理腔室之頂表面間隔開。噴淋頭之基部之朝基板表面或面板包含複數孔洞,處理氣體或吹淨氣體流動通過該等孔洞。或者,上電極104可包含導電板,而處理氣體可以其它方式引入。下電極107可配置在非導電基座中。或者,基座106可包含靜電夾盤,靜電夾盤包含做為下電極107之導電板。
RF產生系統110產生及輸出RF電壓至上電極104及下電極107其中一者。上電極104及下電極107其中另一者可為DC接地、AC接地或浮接。僅做為範例,RF產生系統110可包含RF電壓產生器,RF電壓產生器產生RF電壓,RF電壓藉由匹配及分配網路112而供給至上電極104或下電極107。在其它範例中,電漿可感應式產生或在遠端產生。
氣體傳送系統130包含一或更多氣體源132-1、132-2、…、及132-N(合稱為氣體源132),其中N是大於零之整數。氣體源供應一或更多前驅物及其混合物。氣體源亦可供應吹淨氣體。亦可使用汽化前驅物。氣體源132藉由閥134-1、134-2、…、及134-N(合稱為閥134)及質量流量控制器136-1、136-2、…、及136-N(合稱為質量流量控制器136)而連接至歧管140。歧管140之輸出係供給至處理腔室102。僅做為範例,歧管140之輸出係供給至噴淋頭109。
加熱器142可連接至配置在基座106中之加熱器線圈(未顯示)。加熱器142可用於控制基座106及基板108之溫度。閥150及泵152可用於從處理腔室102抽空反應物。控制器160可用於控制基板處理系統100之構件。控制器160亦可用於控制致動器105以調整邊緣連結環103之一或更多部分之位置。
機械臂170可用於傳送基板至基座106上以及從基座106移除基板。例如,機械臂170可在基座106與根據本揭露內容之原理之負載鎖室172之間傳送基板。
現在參考圖2A,非限制示例性基板處理工具200(例如,如在基板處理系統100內所實施)包含搬運操作腔室220及多個反應器,每一反應器具有一或更多基板處理腔室。基板225由晶圓匣及∕或晶圓盒223(例如,前開式晶圓傳送盒(FOUP))進入基板處理工具200。機械臂224包含一或更多末端作用器以搬運基板225。搬運操作腔室220之壓力可處於大氣壓。或者,搬運操作腔室220可處於真空壓力(具有做為開槽閥之開口)。
機械臂224將基板225從晶圓匣及∕或晶圓盒移動至負載鎖室230。例如,基板225經由開口232(或隔離閥)進入負載鎖室230,並且放置在負載鎖室基座233上。通往搬運操作腔室220之開口232關閉,並且將負載鎖室230抽真空至適合傳送之壓力。接著開口234開啟,且在處理搬運腔室235中之另一機械臂236(亦具有一或更多末端作用器)將基板經由開口237-1、237-2、237-3(合稱為開口237)其中一者而放置於對應的所選反應器240-1、240-2及240-3(合稱為反應器240)。雖然負載鎖室230係顯示為具有多個基座及相關的開口,但是在實施例中負載鎖室230可僅僅包含單一基座及個別的開口。僅做為範例,圖2B中顯示另一示例性負載鎖室結構。
基板索引機構242可用於進一步相對於基板處理腔室而放置基板。在某些範例中,索引機構242包含轉軸244及傳送板246。
在某些範例中,反應器240之處理腔室或站其中至少一者能夠與它站依序或同時執行半導體處理操作,例如材料沉積或蝕刻。在某些範例中,站其中至少一或更多者可執行基於RF之半導體處理操作。
利用基板索引機構242,基板從反應器240中之一站移動至下一站。反應器240之站其中一或更多者可能能夠根據基板處理工具200之特定實行例而,僅做為範例,執行RF電漿沉積、蝕刻、或其它處理步驟。在使用期間,基板移動至反應器240其中一或更多者、進行處理、及接著返回。應當了解,減少每一基板之搬運時間會改善生產力及產量。
根據本揭露內容之原理,機械臂224及236在控制器(例如,如圖1所示之控制器160)之指揮下傳送基板至負載鎖室230及離開負載鎖室230。具體而言,每一基板(亦即,晶圓)包含切口以利於判斷晶圓相對於負載鎖室230之基座233之位置(例如,對準)。晶圓之位置之準確判斷接著有助於晶圓之準確拍攝、取得及傳送(亦即,藉由用於從負載鎖室230至反應器240之傳送之機械臂236之晶圓拍攝。
現在參考圖3A、3B及3C,示例性負載鎖室300及攝影機304係配置以根據本揭露內容之原理而檢測晶圓308之位置。雖然負載鎖室300之結構相應於圖2B中所示之示例性負載鎖室,但負載鎖室300可具有類似於圖2A之負載鎖室230之結構或任何其它結構。晶圓308係配置在負載室300內之基座312(例如,冷卻基座)上(例如,如藉由機械臂或其它合適的工具所放置)。攝影機304係放置(例如,以攝影機304之鏡頭朝上)以拍攝晶圓308之部分之影像。例如,攝影機304拍攝晶圓308之邊緣314之影像(例如,藉由包含石英之孔隙或其它類型的窗口316)。晶圓308之邊緣可從背後照光(例如,使用LED或其它光源320向下投射穿過另一窗口324、通過晶圓308之邊緣、並且朝向攝影機304)以照亮攝影機304之視野328,如圖3C所示。
如圖3C所示,在攝影機304之視野328內,由攝影機304所拍攝之示例性影像332包含晶圓308之邊緣314。攝影機304(及∕或圖1中所示之控制器160、或如詳述於下之另一裝置或模組)分析所拍攝的影像332,以確定晶圓308之邊緣314及形成在晶圓308之邊緣314中之切口336之位置。例如,攝影機304可藉由檢測切口336之曲率以辨識切口336及確定切口336之位置。
相對於座標系統,攝影機304之視野328可為固定的(亦即,不變的)。例如,座標系統可對應至在視野328內之複數X, Y位置,其中0, 0位置340對應至視野328之中心點。根據切口336之所檢測的位置,攝影機304放置目標定位盒344。例如,可校正目標定位盒344以鎖定至位於所檢測的切口336中心之位置X, Y(例如,根據所檢測的切口輪廓)。邊緣定位盒348及352係分別設置於X1, Y1及X2, Y2(相對於目標定位盒344之X, Y位置)。換言之,基於目標定位盒344之X, Y位置及已知的晶圓308之曲率(例如,基於已知的晶圓308之直徑),位置X1, Y1及X2, Y2係沿著晶圓308之邊緣314而設置於與目標定位盒344之X, Y位置離開一固定距離(在相反的方向上)。僅做為範例,邊緣定位盒348及352可設置為與目標定位盒344離開愈遠愈好,但仍然在視野328內以使準確性最大化。
例如,在使用最佳(例如,在期望公差內)放置測試晶圓之初始校正期間,目標定位盒344可能被吸引在測試晶圓之切口周圍。換言之,測試晶圓係設置為俾使測試晶圓之切口之位置儘可能接近地對應至攝影機304之視野328之0, 0位置。以此方式,在校正期間目標定位盒344之X, Y位置係直接對應至測試晶圓之切口之位置。當目標定位盒如此設置時,邊緣定位盒348及352之X3, Y3及X4, Y4位置係選擇為沿著測試晶圓之邊緣與X, Y位置離開一固定距離並且在攝影機304之視野328內。例如,對於測試晶圓,X3, Y3可對應至(X-A), (Y+B),而X4, Y4可對應至(X+C), (Y-D)。
當目標定位盒344應用於晶圓308之切口336時,攝影機304放置邊緣定位盒348及352於與目標定位盒344離開相同的距離,如同測試晶圓與目標定位盒344離開之位置(X-A), (Y+B)及(X+C), (Y-D)。然而,邊緣定位盒348及352之位置可調整為沿著晶圓308之邊緣314而放置。換言之,晶圓308之未對準(例如,橫向及∕或角度偏位)可能造成晶圓308之邊緣314沿著與測試晶圓之邊緣不同的路徑。據此,當邊緣定位盒348及352設置在與目標定位盒344離開相同的距離時,晶圓308之實際對應的X1, Y1及X2, Y2位置可能與測試晶圓之X3, Y3及X4, Y4位置有所偏移。
晶圓308之X1, Y1及X2, Y2位置之計算可基於與X, Y位置之已知距離及由攝影機304所檢測之晶圓308之邊緣314。攝影機304將X1, Y1及X2, Y2位置與X3, Y3及X4, Y4位置分別進行比較,並藉此計算晶圓308相較於測試晶圓之橫向及∕或角度偏位。基於橫向及角度偏位以計算校正值,並據此使用校正值以調整晶圓308之位置。
現在參考圖4,根據本揭露內容之原理之示例性晶圓對準方法400開始於404。方法400係使用圖1-3C之各種構件而實施,如下所述。在408,將晶圓放置在負載鎖室(例如,圖3之負載鎖室300)上。可使用機械臂224在控制器160之控制下將晶圓傳送至負載鎖室。例如,將晶圓放置在冷卻基座上之抬升(上升)位置。在412,控制器或控制模組(例如,控制器160)提供指令至攝影機(例如,圖3B之攝影機304)以執行晶圓位置之測量。例如,控制器160可實施系統軟體或韌體,系統軟體或韌體產生及傳遞訊息至攝影機304。僅做為範例,訊息可對應至乙太網路訊息。
在416,攝影機304拍攝晶圓之影像(例如,包含切口之晶圓之邊緣),如圖3C所述。在420,攝影機304處理及分析影像以檢測及定位晶圓之切口及邊緣,包含實施目標定位盒344及邊緣定位盒348及352。在424,攝影機304計算晶圓之角度偏位及∕或橫向偏位(例如,基於目標定位盒344及邊緣定位盒348及352之位置)。在428,攝影機304提供計算出的角度偏位及∕或橫向偏位至控制器160(例如,藉由乙太網路訊息)。
雖然描述為由攝影機304所執行,但可藉由控制器160或另一構件以執行420、424及428其中一或更多者。例如,攝影機304可拍攝影像,但接著提供影像至控制器160以進行分析及偏位之計算,或者攝影機可拍攝及分析影像,並且提供目標定位盒344及邊緣定位盒348及352之位置給控制器160以進行偏位之計算。
在432,鍍覆機械臂(例如,機械臂236)從負載鎖室300接收晶圓。例如,在機械臂236接收晶圓之前,負載鎖室300之冷卻基座可降低。在436,基於所計算的角度及∕或橫向偏位而調整處理槽(例如,晶圓之目標腔室之鍍覆槽)之裝載位置。例如,控制器160控制(例如,實施系統軟體∕韌體)處理槽之裝載位置,以補償由攝影機304或控制器160所計算之預期角度偏位。在440,機械臂236傳送晶圓至處理槽。方法400在444結束。
現在參考圖5,顯示根據本揭露內容之原理之簡化示例性晶圓對準系統500。晶圓對準系統包含攝影機504及控制器508(僅做為範例,對應至攝影機304及控制器160)。攝影機504包含影像拍攝模組512及選擇性地包含影像分析模組516及偏位計算模組520。影像拍攝模組512控制晶圓(例如,晶圓之邊緣及切口)之影像之拍攝,如圖1-4所示。例如,影像拍攝模組512回應來自控制器508之命令,以當晶圓放置在負載鎖室時開始拍攝晶圓之影像。
影像拍攝模組512提供所拍攝的影像至影像分析模組516。如所示,影像分析模組516可設置於攝影機504、控制器508、或系統500之另一控制器或模組中。影像分析模組516分析影像,以檢測晶圓之邊緣及切口並且計算目標定位盒344及邊緣定位盒348及352之位置。影像分析模組516提供所計算的位置至偏位計算模組520,偏位計算模組520利用所計算的位置及所計算的參考位置(亦即,校正位置)而計算角度偏位。如所示,偏位計算模組520可設置於攝影機504、控制器508、或系統500之另一控制器或模組中。
將所計算的偏位提供至控制器508之系統控制模組524。雖然顯示為單一模組,但系統控制模組524可能代表與基板處理系統之控制(例如,鍍覆機械臂之控制、處理槽裝載位置之控制、等)有關之一或更多模組。為了本範例之目的,系統控制模組524控制鍍覆機械臂以從負載鎖室接收晶圓、根據所計算的偏位而調整處理槽之裝載位置(亦即,旋轉位向)、及控制機械臂以將晶圓放置在處理槽上。在實施例中,可控制額外的對準器或其它構件(例如,前端模組之構件)以在晶圓被放置在負載鎖室中之前進行切口之對準。
現在參考圖6A、6B及6C,分別顯示測試晶圓之示例性校正影像、未對準晶圓之示例性影像、及校正影像與未對準晶圓之影像之示例性比較。圖6A顯示具有切口604之測試晶圓600。切口604係與軸608對準(例如,相對於測試晶圓600之中心點612,對於校正測試晶圓而言,中心點612可能對應至基座之中心點)。影像包含所計算的位置A及B(亦即,校正位置),所計算的位置A及B對應至,例如,X2, Y2及X3, Y3,如以上之實施例所述。
相反地,如圖6B所示之晶圓620之影像具有與軸628對準(例如,相對於晶圓620之中心點632)之切口624。晶圓620並未在基座上正確地對準,因此使軸628相對於測試晶圓600之軸608而旋轉。影像包含所計算的位置C及D,其對應至,例如,X1, Y1及X2, Y2,如以上之實施例所述。
圖6C顯示晶圓620之影像與校正測試晶圓600之影像之重疊,說明在軸608與628之間之角度偏位a3。如以上之圖1-5所述之本揭露內容之系統及方法基於在測試晶圓600之校正位置A及B與晶圓620之所計算的位置C及D之比較而計算角度偏位a3。
以上敘述在本質上僅用於說明而非用於限制本揭露內容、其應用、或用途。可利用各種形式以實施本揭露內容之廣泛教示。因此,儘管本揭露內容包含特定範例,但本揭露內容之真正範圍不應如此受限制,因為一旦研讀圖式、說明書及以下的申請專利範圍,其它的修改將變得顯而易見。如本文中所使用,A、B、及C其中至少一者之用語應解釋為使用非互斥邏輯符號OR之邏輯(A OR B OR C),而不應解釋為「A其中至少一者、B其中至少一者、及C其中至少一者」。應當了解,在不改變本揭露內容之原則之下,可以不同的順序(或同時) 執行方法中之一或更多步驟。
在本申請案中(包含以下的定義),「控制器」一詞可用「電路」一詞加以取代。「控制器」一詞可表示、屬於、或包含:特殊應用積體電路(ASIC);數位、類比、或混合數位∕類比的離散電路;數位、類比、或混合數位∕類比的積體電路;組合邏輯電路;現場可程式化閘陣列(FPGA);執行程式碼之處理器電路(共享的、專屬的、或群組的);儲存由處理器電路所執行之程式碼之記憶體電路(共享的、專用的、或群組的);提供所述功能之其它合適的硬體構件;或部分或全部前述構件之結合,例如在系統單晶片(system-on-chip)中。
控制器可包含一或更多界面電路。在某些範例中,界面電路可包含有線或無線界面,連接至區域網路(LAN) 、網際網路、廣域網路 (WAN)、或其結合。本揭露內容之任何給定控制器之功能可分散在透過界面電路而連接之多個控制器中。例如,多個控制器能使負載平衡。在進一步的範例中,伺服器(亦稱為遠端、或雲端)控制器可代替用戶端控制器而達成某些功能。
使用於上之碼(code)一詞可包含軟體、韌體及∕或微碼(microcode),並且可表示程式、常式(routine)、函數、類別(class)、資料結構、及∕或物件(object)。共用處理器電路一詞涵蓋用以執行來自多個控制器之某些或全部碼之單一處理器電路。群組處理器電路一詞包含與額外的處理器電路結合而用以執行來自一或更多控制器之某些或全部碼之一處理器電路。多個處理器電路之參照涵蓋在分離晶粒上之多個處理器電路、在單一晶粒上之多個處理器電路、單一處理器電路之多核、單一處理器電路之多線程、或上述之組合。共用記憶體電路一詞涵蓋用以儲存來自多個控制器之某些或全部碼之單一記憶體電路。群組記憶體電路一詞涵蓋與額外的記憶體結合而用以儲存來自一或更多控制器之某些或全部碼之一記憶體電路。
記憶體電路一詞係電腦可讀媒體一詞之子集合。當使用在本文中,電腦可讀媒體一詞不涵蓋透過介質(例如在載波上)而傳播之暫態電訊號與電磁訊號;因此,電腦可讀媒體一詞可視為有形且非暫態。非暫態、有形的電腦可讀媒體之非限制性範例為非揮發性記憶體電路(例如快閃記憶體電路、可抹除可程式化唯讀記憶體電路、或遮罩式唯讀記憶體電路)、揮發性記憶體電路(例如靜態隨機存取記憶體電路、或動態隨機存取記憶體電路)、磁性儲存媒體(例如類比或數位磁帶或硬碟機)、以及光學儲存媒體(例如CD、DVD、或藍光光碟)。
藉由建構一般用途電腦以執行在電腦程式中體現之一或更多特定功能而產生之特殊用途電腦,可部份或完整地實施在本申請案中所述之設備及方法。上述之功能方塊及流程圖元件係做為軟體規格,其可藉由熟悉此項技藝者或程式設計師之例行工作而轉譯為電腦程式。
電腦程式包含處理器可執行的指令,處理器可執行的指令係儲存於至少一非暫態、有形的電腦可讀媒體上。電腦程式亦可包含或依靠儲存的資料。電腦程式可涵蓋與特殊用途電腦之硬體互動之基本輸入輸出系統(BIOS)、與特殊用途電腦之特定裝置互動之裝置驅動程式、一或更多操作系統、使用者應用程式、背景服務、背景應用程式、等。
電腦程式可包含:(i) 符合語法的說明性篇章,例如HTML(超文件標記語言)或XML(可延伸標記語言);(ii) 組合碼;(iii) 由編譯器從來源碼所產生之目的碼;(iv) 用於由解譯器所執行之來源碼;(v) 用於由即時編譯器所編碼及執行之來源碼。僅做為範例,來源碼可使用來自下列語言之語法加以編寫:C、C++、C#、Objective-C、Haskell、Go、SQL、R、Lisp、Java®、Fortran、Perl、Pascal, Curl、OCaml、Javascript®、HTML5、Ada、ASP(動態伺服器網頁)、PHP、Scala、Eiffel、Smalltalk、Erlang、Ruby、Flash®、Visual Basic®、Lua、及Python®。
在申請專利範圍中所述之元件皆非美國專利法§112(f)文意中之手段功能用語之元件,除非元件明確地使用「用於…之手段」用語來描述,或在使用「用於…之操作」或「用於…之步驟」用語之方法請求項之情況下。
在某些實行例中,控制器為系統的一部分,其可為上述範例之一部分。這樣的系統可包含半導體處理設備,其中包含一處理工具或複數處理工具、一腔室或複數腔室、用以進行處理之一平台或複數平台、及∕或特定的處理構件(晶圓基座、氣體流動系統、等)。這些系統可與電子元件整合,電子元件係用以於半導體晶圓或基板之處理之前、期間內、及之後控制它們的操作。電子元件可稱為「控制器」,控制器可控制一系統或複數系統之各種構件或子部分。根據處理需求及∕或系統類型,控制器可被程式化以控制本文中所揭露的任何處理,包含處理氣體之傳送、溫度設定(例如,加熱及∕或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體傳送設定、定位及操作設定、晶圓傳遞進入與離開連接至特定系統或與特定系統接合之工具及其它傳遞工具及∕或負載鎖室。
廣義而言,控制器可定義為具有用以接收指令、發出指令、控制操作、使清洗操作得以進行、使終點測量得以進行、及達成類似功能之各種積體電路、邏輯、記憶體、及∕或軟體之電子元件。積體電路可包含儲存程式指令之韌體形式之晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)之晶片、及∕或一或更多微處理器、或執行程式指令(例如,軟體)之微控制器。程式指令可為以各種單獨設定(或程式檔案)之形式通訊至控制器的指令,定義了用以在半導體晶圓上、或對半導體晶圓、或對系統實行特定處理之操作參數。在某些實施例中,操作參數可為由製程工程師所定義以在晶圓之一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及∕或晶粒之製造期間內完成一或更多處理步驟之配方之一部分。
在某些實行例中,控制器可為電腦之一部分或耦接至電腦,該電腦與該系統整合、耦接至該系統、以其它方式網路連接至該系統、或其組合。例如,控制器可在「雲端」中、或使得晶圓處理之遠端控制得以進行之工廠主機電腦系統之全部或一部分。該電腦可使得對系統之遠端控制得以進行以監控製造操作之當前處理、檢驗過去製造操作之歷史記錄、檢驗複數製造操作之趨勢或效能評量、改變當前處理之參數、設置在當前處理之後之處理步驟、或開始新的處理。在某些範例中,遠端電腦(例如伺服器)可透過網路而將處理配方提供至系統,網路可包含區域網路或網際網路。遠端電腦可包含使用者界面,該使用者介面使得參數及∕或設定之輸入或程式化得以進行,該參數及∕或設定接著從遠端電腦被傳遞至該系統。在某些範例中,控制器接收數據形式之指令,指令為待於一或更多操作期間內執行之該等處理步驟其中每一者指定了參數。應當了解,該等參數可針對待執行之處理類型、及控制器與其接合或對其進行控制之工具類型。因此,如上所述,控制器可為分散式的,例如藉由包含以網路連接在一起並朝著共同目標(例如本文中所描述之處理及控制)工作之一或更多獨立控制器。用於這樣的目標之分散式控制器之範例將是腔室中之一或更多積體電路,該一或更多積體電路與位於遠端(例如,在平台等級或做為遠端電腦之一部分)之一或更多積體電路通訊相結合,以控制腔室中的處理。
非限制性地,示例性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及關於或用於半導體晶圓之加工及∕或製造之任何其它半導體處理系統。
如上所述,取決於欲由工具所進行之處理操作,控制器可與下列之一或多者通訊:其它工具電路或模組、其它工具構件、叢集工具、其它工具介面、相鄰工具、鄰近工具、位於工廠各處之工具、主電腦、另一控制器、或在半導體製造工廠中將晶圓容器移入及移出工具位置及∕或裝載埠之材料傳送用工具。
100‧‧‧基板處理系統
102‧‧‧處理腔室
103‧‧‧邊緣連結環
104‧‧‧上電極
105‧‧‧致動器
106‧‧‧基座
107‧‧‧下電極
108‧‧‧基板
109‧‧‧噴淋頭
110‧‧‧RF產生系統
112‧‧‧匹配及分配網路
130‧‧‧氣體傳送系統
132,132-1,132-2,…132-N‧‧‧氣體源
134,134-1,134-2,…134-N‧‧‧閥
136,136-1,136-2,…136-N‧‧‧質量流量控制器
140‧‧‧歧管
142‧‧‧加熱器
150‧‧‧閥
152‧‧‧泵
160‧‧‧控制器
170‧‧‧機械臂
172‧‧‧負載鎖室
200‧‧‧基板處理工具
220‧‧‧搬運操作腔室
223‧‧‧晶圓匣、晶圓盒
224‧‧‧機械臂
225‧‧‧基板
230‧‧‧負載鎖室
232‧‧‧開口
233‧‧‧負載鎖室基座
234‧‧‧開口
235‧‧‧處理搬運腔室
236‧‧‧機械臂
237,237-1,237-2,237-3‧‧‧開口
240,240-1,240-2,240-3‧‧‧反應器
242‧‧‧基板索引機構
244‧‧‧轉軸
246‧‧‧傳送板
300‧‧‧負載鎖室
304‧‧‧攝影機
308‧‧‧晶圓
312‧‧‧基座
314‧‧‧晶圓邊緣
316‧‧‧窗口
320‧‧‧光源
324‧‧‧窗口
328‧‧‧視野
332‧‧‧影像
336‧‧‧切口
340‧‧‧0,0位置
344‧‧‧目標定位盒
348‧‧‧邊緣定位盒
352‧‧‧邊緣定位盒
400‧‧‧方法
404‧‧‧步驟
408‧‧‧步驟
412‧‧‧步驟
416‧‧‧步驟
420‧‧‧步驟
424‧‧‧步驟
428‧‧‧步驟
432‧‧‧步驟
436‧‧‧步驟
440‧‧‧步驟
444‧‧‧步驟
500‧‧‧晶圓對準系統
504‧‧‧攝影機
508‧‧‧控制器
512‧‧‧影像拍攝模組
516‧‧‧影像分析模組
520‧‧‧偏位計算模組
524‧‧‧系統控制模組
600‧‧‧測試晶圓
604‧‧‧切口
608‧‧‧軸
612‧‧‧中心點
620‧‧‧晶圓
624‧‧‧切口
628‧‧‧軸
632‧‧‧中心點
A‧‧‧位置
B‧‧‧位置
C‧‧‧位置
D‧‧‧位置
a3‧‧‧角度偏位
根據實施方式及伴隨的圖式,本揭露內容將變得更為完全清楚,其中:
圖1為根據本揭露內容之原理之示例性基板處理系統之功能方塊圖;
圖2A為根據本揭露內容之原理之基板處理工具之範例之功能方塊圖;
圖2B為示例性負載鎖室;
圖3A為根據本揭露內容之原理之示例性負載鎖室及攝影機;
圖3B為根據本揭露內容之原理之示例性負載鎖室及攝影機之另一視圖;
圖3C為根據本揭露內容之原理所拍攝之晶圓之示例性影像;
圖4說明根據本揭露內容之原理之示例性晶圓對準方法之步驟;
圖5為根據本揭露內容之原理之示例性晶圓對準系統之功能方塊圖;
圖6A為根據本揭露內容之原理之測試晶圓之示例性校正影像;
圖6B為根據本揭露內容之原理之未對準晶圓之示例性影像;及
圖6C為根據本揭露內容之原理之未對準晶圓之影像及校正影像之示例性比較;
在圖式中,可重複使用元件符號以視為類似及∕或相同的元件。
400‧‧‧方法
404‧‧‧步驟
408‧‧‧步驟
412‧‧‧步驟
416‧‧‧步驟
420‧‧‧步驟
424‧‧‧步驟
428‧‧‧步驟
432‧‧‧步驟
436‧‧‧步驟
440‧‧‧步驟
444‧‧‧步驟

Claims (20)

  1. 一種晶圓對準系統,包含: 一影像拍攝裝置,拍攝位於一基座上之一晶圓之一影像; 一影像分析模組,分析該影像以檢測該晶圓之一邊緣及形成在該晶圓之該邊緣中之一切口,及基於該切口之位置而計算對應至該晶圓之該邊緣之第一及第二邊緣位置; 一偏位計算模組,基於該第一邊緣位置及該第二邊緣位置而計算該晶圓之一角度偏位;及 一系統控制模組,基於該角度偏位而控制該晶圓從該基座傳送至一處理槽。
  2. 如申請專利範圍第1項之晶圓對準系統,其中該等第一及第二邊緣位置係離開該切口之該位置一預定距離。
  3. 如申請專利範圍第1項之晶圓對準系統,其中為了計算該角度偏位,該偏位計算模組比較該等第一及第二邊緣位置與第三及第四邊緣位置。
  4. 如申請專利範圍第3項之晶圓對準系統,其中該角度偏位對應至在該第一邊緣位置與該第三邊緣位置之間之一差距及在該第二邊緣位置與該第四邊緣位置之間之一差距。
  5. 如申請專利範圍第3項之晶圓對準系統,其中該等第三及第四邊緣位置對應至一測試晶圓之一邊緣。
  6. 如申請專利範圍第5項之晶圓對準系統,其中該影像分析模組利用一影像而計算該等第三及第四邊緣位置,該影像係當該測試晶圓配置在該基座上之一參考位置時所拍攝。
  7. 如申請專利範圍第5項之晶圓對準系統,其中該等第一、第二、第三、及第四邊緣位置每一者係對應至在該影像拍攝裝置之一視野內之座標。
  8. 如申請專利範圍第5項之晶圓對準系統,更包含:一光源,配置於該晶圓在該影像拍攝裝置對面之一側上,其中該光源係配置以將光投射經過該晶圓而朝向該影像拍攝裝置。
  9. 如申請專利範圍第8項之晶圓對準系統,其中該光源係配置以照亮該影像拍攝裝置之一視野。
  10. 如申請專利範圍第1項之晶圓對準系統,其中該系統控制模組基於該角度偏位而在傳送該晶圓至該處理槽之前調整該處理槽之裝載位置。
  11. 一種晶圓對準方法,包含: 拍攝位於一基座上之一晶圓之一影像; 分析該影像,以檢測該晶圓之一邊緣及形成在該晶圓之該邊緣中之一切口; 基於該切口之一位置,計算對應至該晶圓之該邊緣之第一及第二邊緣位置; 基於該第一邊緣位置及該第二邊緣位置,計算該晶圓之一角度偏位;及 基於該角度偏位,控制該晶圓從該基座傳送至一處理槽。
  12. 如申請專利範圍第11項之晶圓對準方法,其中該等第一及第二邊緣位置係離開該切口之該位置一預定距離。
  13. 如申請專利範圍第11項之晶圓對準方法,其中計算該角度偏位之步驟包含:比較該等第一及第二邊緣位置與第三及第四邊緣位置。
  14. 如申請專利範圍第13項之晶圓對準方法,其中該角度偏位對應至在該第一邊緣位置與該第三邊緣位置之間之一差距及在該第二邊緣位置與該第四邊緣位置之間之一差距。
  15. 如申請專利範圍第13項之晶圓對準方法,其中該等第三及第四邊緣位置對應至一測試晶圓之一邊緣。
  16. 如申請專利範圍第15項之晶圓對準方法,更包含:利用一影像而計算該等第三及第四邊緣位置,該影像係當該測試晶圓配置在該基座上之一參考位置時所拍攝。
  17. 如申請專利範圍第15項之晶圓對準方法,其中該等第一、第二、第三、及第四邊緣位置每一者係對應至在該影像拍攝裝置之一視野內之座標。
  18. 如申請專利範圍第15項之晶圓對準方法,更包含:利用配置於該晶圓在該影像拍攝裝置對面之一側上之一光源,將光投射經過該晶圓而朝向該影像拍攝裝置。
  19. 如申請專利範圍第18項之晶圓對準方法,其中該光源係配置以照亮該影像拍攝裝置之一視野。
  20. 如申請專利範圍第11項之晶圓對準方法,更包含:基於該角度偏位,在傳送該晶圓至該處理槽之前調整該處理槽之裝載位置。
TW105123516A 2015-07-30 2016-07-26 基於視覺的晶圓切口位置測量 TW201714145A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/813,895 US9966290B2 (en) 2015-07-30 2015-07-30 System and method for wafer alignment and centering with CCD camera and robot
US14/813,948 US9831110B2 (en) 2015-07-30 2015-07-30 Vision-based wafer notch position measurement

Publications (1)

Publication Number Publication Date
TW201714145A true TW201714145A (zh) 2017-04-16

Family

ID=57882805

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105123516A TW201714145A (zh) 2015-07-30 2016-07-26 基於視覺的晶圓切口位置測量
TW105123521A TWI681852B (zh) 2015-07-30 2016-07-26 具有ccd攝影機與機器人之晶圓對準及定心系統與方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW105123521A TWI681852B (zh) 2015-07-30 2016-07-26 具有ccd攝影機與機器人之晶圓對準及定心系統與方法

Country Status (3)

Country Link
US (2) US9966290B2 (zh)
KR (1) KR20170015208A (zh)
TW (2) TW201714145A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI809194B (zh) * 2019-09-13 2023-07-21 美商布魯克斯自動機械美國公司 用於基板對準之方法及裝置

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180015614A1 (en) * 2015-02-04 2018-01-18 Kawasaki Jukogyo Kabushiki Kaisha Robot shakes automatically adjusting device and method of automatically adjusting shakes of robot
KR101757815B1 (ko) * 2015-09-25 2017-07-14 세메스 주식회사 기판 중심 검출 방법, 기판 반송 방법, 반송 유닛 및 이를 포함하는 기판 처리 장치.
JP6444909B2 (ja) * 2016-02-22 2018-12-26 東京エレクトロン株式会社 基板処理方法、基板処理装置及びコンピュータ読み取り可能な記録媒体
KR101817209B1 (ko) * 2016-06-24 2018-02-22 세메스 주식회사 기판 처리 장치 및 방법
US10354373B2 (en) 2017-04-26 2019-07-16 Kla-Tencor Corporation System and method for photomask alignment and orientation characterization based on notch detection
CN108986167B (zh) * 2017-06-05 2022-01-11 梭特科技股份有限公司 置晶设备的校正方法及使用该方法的置晶设备
CN109103122B (zh) * 2017-06-20 2020-10-16 梭特科技股份有限公司 影像校准的置晶方法及置晶设备
TWI636521B (zh) * 2017-06-20 2018-09-21 梭特科技股份有限公司 影像校準的置晶方法及置晶設備
JP6991783B2 (ja) * 2017-08-23 2022-01-13 キヤノン株式会社 物品の搬送方法、物品の搬送装置、光学素子の製造方法、光学素子の製造装置、プログラム、記録媒体
US10989652B2 (en) 2017-09-06 2021-04-27 Lam Research Corporation Systems and methods for combining optical metrology with mass metrology
US11088004B2 (en) 2018-01-30 2021-08-10 Brooks Automation, Inc. Automatic wafer centering method and apparatus
KR102433436B1 (ko) 2018-07-04 2022-08-17 삼성전자주식회사 기판 처리 시스템, 기판 처리 시스템에서의 에지 링 정렬 검사 방법 및 이를 수행하기 위한 원반형 비젼 센서
CN111106053B (zh) * 2018-10-25 2023-08-04 上海微电子装备(集团)股份有限公司 一种硅片预对准装置和方法
JP7058239B2 (ja) * 2019-03-14 2022-04-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
TW202111834A (zh) * 2019-06-03 2021-03-16 美商應用材料股份有限公司 非接觸式的低基板溫度測量方法
US11626305B2 (en) * 2019-06-25 2023-04-11 Applied Materials, Inc. Sensor-based correction of robot-held object
JP7442078B2 (ja) * 2019-07-09 2024-03-04 パナソニックIpマネジメント株式会社 画像処理装置および画像処理方法
WO2021044623A1 (ja) * 2019-09-06 2021-03-11 キヤノンアネルバ株式会社 ロードロック装置
CN112884828B (zh) * 2019-11-29 2023-10-27 上海先进半导体制造有限公司 遮挡元件位置的监控方法、系统、电子设备和存储介质
US11924972B2 (en) 2020-06-02 2024-03-05 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source
US11589474B2 (en) 2020-06-02 2023-02-21 Applied Materials, Inc. Diagnostic disc with a high vacuum and temperature tolerant power source
US11284018B1 (en) 2020-09-15 2022-03-22 Applied Materials, Inc. Smart camera substrate
TW202238803A (zh) * 2021-02-26 2022-10-01 日商東京威力科創股份有限公司 搬運系統、搬運裝置及搬運方法
US11768484B2 (en) * 2021-03-31 2023-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer cooling
US20220230927A1 (en) * 2021-04-15 2022-07-21 Jnk Tech Glass and wafer inspection system and a method of use thereof
US11508590B2 (en) * 2021-04-15 2022-11-22 Jnk Tech Substrate inspection system and method of use thereof
US11823939B2 (en) 2021-09-21 2023-11-21 Applied Materials, Inc. Apparatus and methods for processing chamber lid concentricity alignment
US11942345B2 (en) * 2022-07-15 2024-03-26 Applied Materials, Inc. Automated substrate placement to chamber center
CN114975213B (zh) * 2022-07-26 2022-11-18 之江实验室 一种晶圆对准装置及对准方法

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4055376A (en) 1975-10-02 1977-10-25 Rockwell International Corporation Calibration reticle for measuring microscopes
US4819167A (en) 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US4971443A (en) 1987-12-25 1990-11-20 Dainippon Screen Mfg. Co., Ltd. Optical position detecting method and apparatus therefor
CA2044649A1 (en) 1990-06-19 1991-12-20 Masanori Nishiguchi Method and apparatus for packaging a semiconductor device
US5546179A (en) 1994-10-07 1996-08-13 Cheng; David Method and apparatus for mapping the edge and other characteristics of a workpiece
US5530548A (en) 1994-11-07 1996-06-25 Automotive Systems Laboratory, Inc. Calibratable optical distance sensing system and method
US5675407A (en) 1995-03-02 1997-10-07 Zheng Jason Geng Color ranging method for high speed low-cost three dimensional surface profile measurement
US5822213A (en) 1996-03-29 1998-10-13 Lam Research Corporation Method and apparatus for determining the center and orientation of a wafer-like object
US5905850A (en) * 1996-06-28 1999-05-18 Lam Research Corporation Method and apparatus for positioning substrates
EP0837333A3 (en) 1996-10-18 1999-06-09 Tokyo Electron Limited Apparatus for aligning a semiconductor wafer with an inspection contactor
JP2001509643A (ja) 1997-07-11 2001-07-24 ジェンマーク・オートメーション 複数ポイント位置走査システム
US6114705A (en) 1997-09-10 2000-09-05 Varian Semiconductor Equipment Associates, Inc. System for correcting eccentricity and rotational error of a workpiece
BE1011535A3 (nl) 1997-11-05 1999-10-05 Framatome Connectors Belgium Werkwijze en inrichting voor het opmeten van de positie van een reeks contactpennen en voor het aanbrengen van deze reeks in een plaat met gedrukte schakelingen.
US6126382A (en) 1997-11-26 2000-10-03 Novellus Systems, Inc. Apparatus for aligning substrate to chuck in processing chamber
US6244121B1 (en) 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6215897B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
JP3957413B2 (ja) 1998-10-08 2007-08-15 松下電器産業株式会社 ウェーハ位置検出方法及びその検出装置
US6188323B1 (en) 1998-10-15 2001-02-13 Asyst Technologies, Inc. Wafer mapping system
AU4175800A (en) 1999-03-22 2000-10-09 Sensys Instruments Corporation Method and apparatus for wafer metrology
US6275742B1 (en) 1999-04-16 2001-08-14 Berkeley Process Control, Inc. Wafer aligner system
US6191851B1 (en) 1999-04-28 2001-02-20 Battelle Memorial Institute Apparatus and method for calibrating downward viewing image acquisition systems
US6195619B1 (en) 1999-07-28 2001-02-27 Brooks Automation, Inc. System for aligning rectangular wafers
JP4389305B2 (ja) 1999-10-06 2009-12-24 東京エレクトロン株式会社 処理装置
US6502054B1 (en) 1999-11-22 2002-12-31 Lam Research Corporation Method of and apparatus for dynamic alignment of substrates
US6629053B1 (en) 1999-11-22 2003-09-30 Lam Research Corporation Method and apparatus for determining substrate offset using optimization techniques
JP2001210692A (ja) 2000-01-26 2001-08-03 Ebara Corp ティーチングの方法
US6409463B1 (en) 2000-02-08 2002-06-25 Seh America, Inc. Apparatuses and methods for adjusting a substrate centering system
EP1269521A1 (en) 2000-04-07 2003-01-02 Varian Semiconductor Equipment Associates Inc. WAFER ORIENTATION SENSOR FOR GaAs WAFERS
US6856863B1 (en) 2000-07-27 2005-02-15 Applied Materials, Inc. Method and apparatus for automatic calibration of robots
JP4740405B2 (ja) 2000-11-09 2011-08-03 東京エレクトロン株式会社 位置合わせ方法及びプログラム記録媒体
US6591160B2 (en) 2000-12-04 2003-07-08 Asyst Technologies, Inc. Self teaching robot
JP3920587B2 (ja) 2001-04-16 2007-05-30 東京エレクトロン株式会社 基板搬送手段のティーチング方法
US7008802B2 (en) * 2001-05-29 2006-03-07 Asm America, Inc. Method and apparatus to correct water drift
US6747746B2 (en) 2001-07-16 2004-06-08 Therma-Wave, Inc. System and method for finding the center of rotation of an R-theta stage
US7106490B2 (en) 2001-12-14 2006-09-12 Micronic Laser Systems Ab Methods and systems for improved boundary contrast
TWI274393B (en) 2002-04-08 2007-02-21 Acm Res Inc Electropolishing and/or electroplating apparatus and methods
US7233841B2 (en) 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
JP4260423B2 (ja) 2002-05-30 2009-04-30 ローツェ株式会社 円盤状物の基準位置教示方法、位置決め方法および搬送方法並びに、それらの方法を使用する円盤状物の基準位置教示装置、位置決め装置、搬送装置および半導体製造設備
US6900877B2 (en) 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
JP4257570B2 (ja) 2002-07-17 2009-04-22 株式会社安川電機 搬送用ロボットのティーチング装置および搬送用ロボットのティーチング方法
US6990430B2 (en) 2002-12-20 2006-01-24 Brooks Automation, Inc. System and method for on-the-fly eccentricity recognition
JP2004288792A (ja) 2003-03-20 2004-10-14 Lintec Corp アライメント装置及びアライメント方法
US6748293B1 (en) 2003-03-24 2004-06-08 Varian Semiconductor Equipment Associates, Inc. Methods and apparatus for high speed object handling
US6952255B2 (en) 2003-08-06 2005-10-04 Lam Research Corporation System and method for integrated multi-use optical alignment
JP2005068502A (ja) 2003-08-26 2005-03-17 Sharp Corp 気相成長装置
US7227628B1 (en) 2003-10-10 2007-06-05 Kla-Tencor Technologies Corp. Wafer inspection systems and methods for analyzing inspection data
JP4501103B2 (ja) 2003-10-17 2010-07-14 株式会社安川電機 半導体ウェハ搬送ロボットのキャリブレーション方法およびそれを備えた半導体ウェハ搬送ロボット、ウェハ搬送装置
US8634633B2 (en) * 2003-11-10 2014-01-21 Brooks Automation, Inc. Wafer center finding with kalman filter
US7319920B2 (en) 2003-11-10 2008-01-15 Applied Materials, Inc. Method and apparatus for self-calibration of a substrate handling robot
US20050137751A1 (en) 2003-12-05 2005-06-23 Cox Damon K. Auto-diagnostic method and apparatus
KR20050087361A (ko) 2004-02-26 2005-08-31 세메스 주식회사 기판 이송 장치
KR100577582B1 (ko) 2004-06-09 2006-05-08 삼성전자주식회사 반도체 포토 스피너 설비 및 이를 이용한 웨이퍼 티칭불량방지방법
US20060009047A1 (en) 2004-07-09 2006-01-12 Wirth Paul Z Modular tool unit for processing microelectronic workpieces
US20060045666A1 (en) 2004-07-09 2006-03-02 Harris Randy A Modular tool unit for processing of microfeature workpieces
JP2007037967A (ja) 2004-11-30 2007-02-15 Lion Corp 洗浄用具
US7352440B2 (en) 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography
US20060167583A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Method and apparatus for on the fly positioning and continuous monitoring of a substrate in a chamber
KR20060088817A (ko) * 2005-01-28 2006-08-07 가부시키가이샤 이빔 기판처리장치 및 기판처리방법
US7197828B2 (en) 2005-05-31 2007-04-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing FPD chuck Z position measurement
US20070177963A1 (en) 2006-02-01 2007-08-02 Tang Chee W End effector for transferring a wafer
JP4741408B2 (ja) 2006-04-27 2011-08-03 株式会社荏原製作所 試料パターン検査装置におけるxy座標補正装置及び方法
JP4887913B2 (ja) 2006-06-02 2012-02-29 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR20080023890A (ko) 2006-09-12 2008-03-17 삼성전자주식회사 반도체 제조설비의 웨이퍼 정렬장치
JP4809744B2 (ja) * 2006-09-19 2011-11-09 東京エレクトロン株式会社 ウエハの中心検出方法及びその方法を記録した記録媒体
CN101154610B (zh) * 2006-09-25 2010-05-12 北京北方微电子基地设备工艺研究中心有限责任公司 搬运校准装置及应用该装置的晶片传输系统
JP2008251968A (ja) 2007-03-30 2008-10-16 Hitachi High-Technologies Corp ウエハ処理装置の運転方法
US8224607B2 (en) 2007-08-30 2012-07-17 Applied Materials, Inc. Method and apparatus for robot calibrations with a calibrating device
JP4530011B2 (ja) * 2007-09-12 2010-08-25 富士ゼロックス株式会社 位置計測システム
US8099192B2 (en) 2007-11-06 2012-01-17 Novellus Systems, Inc. Method and apparatus for teaching a workpiece transfer robot
KR101590655B1 (ko) * 2007-12-27 2016-02-18 램 리써치 코포레이션 동적 정렬 빔 교정의 방법 및 시스템
JP5409649B2 (ja) 2007-12-27 2014-02-05 ラム リサーチ コーポレーション 位置およびオフセットを決定するためのシステムおよび方法
WO2009086164A2 (en) 2007-12-27 2009-07-09 Lam Research Corporation Systems and methods for calibrating end effector alignment using at least a light source
KR20140065376A (ko) * 2011-02-25 2014-05-29 가부시키가이샤 니콘 관찰 장치, 검사 장치, 반도체 장치의 제조 방법, 및 기판 지지 부재
JP5490741B2 (ja) 2011-03-02 2014-05-14 東京エレクトロン株式会社 基板搬送装置の位置調整方法、及び基板処理装置
WO2013162842A1 (en) * 2012-04-25 2013-10-31 Applied Materials, Inc. Wafer edge measurement and control

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI809194B (zh) * 2019-09-13 2023-07-21 美商布魯克斯自動機械美國公司 用於基板對準之方法及裝置
US11894253B2 (en) 2019-09-13 2024-02-06 Brooks Automation Us, Llc Method and apparatus for substrate alignment

Also Published As

Publication number Publication date
US9831110B2 (en) 2017-11-28
US9966290B2 (en) 2018-05-08
TWI681852B (zh) 2020-01-11
KR20170015208A (ko) 2017-02-08
US20170028560A1 (en) 2017-02-02
TW201713471A (zh) 2017-04-16
US20170032510A1 (en) 2017-02-02

Similar Documents

Publication Publication Date Title
TW201714145A (zh) 基於視覺的晶圓切口位置測量
KR102662003B1 (ko) 영상 기반 웨이퍼 노치 위치 측정
US11742229B2 (en) Auto-calibration to a station of a process module that spins a wafer
KR101901460B1 (ko) 기판 처리 장치
TW201834128A (zh) 使用環動態對準資料之邊緣環定心方法
TW201740501A (zh) 晶圓傳送用的晶圓升降環系統
US20210291375A1 (en) Calibration of an electronics processing system
JP2022534738A (ja) 回転アライメントを必要とするエッジリングの自動搬送
CN113785387A (zh) 用于衬底传送机械手自动校正的器具
US20230047039A1 (en) Edge ring transfer with automated rotational pre-alignment
TWI838131B (zh) 對於旋轉晶圓之處理模組之處理站的自動校正
US20220299980A1 (en) Teaching method for transfer device, and transfer system
JP2013125796A (ja) プラズマ処理方法および装置