TW201630117A - 半導體裝置之製造方法 - Google Patents

半導體裝置之製造方法 Download PDF

Info

Publication number
TW201630117A
TW201630117A TW104126338A TW104126338A TW201630117A TW 201630117 A TW201630117 A TW 201630117A TW 104126338 A TW104126338 A TW 104126338A TW 104126338 A TW104126338 A TW 104126338A TW 201630117 A TW201630117 A TW 201630117A
Authority
TW
Taiwan
Prior art keywords
semiconductor
opening
semiconductor device
semiconductor die
die
Prior art date
Application number
TW104126338A
Other languages
English (en)
Other versions
TWI579971B (zh
Inventor
余振華
張宏賓
陳怡秀
楊固峰
邱文智
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201630117A publication Critical patent/TW201630117A/zh
Application granted granted Critical
Publication of TWI579971B publication Critical patent/TWI579971B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/06Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials
    • H01L21/08Preparation of the foundation plate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/03001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/03009Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for protecting parts during manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/03618Manufacturing methods by patterning a pre-deposited material with selective exposure, development and removal of a photosensitive material, e.g. of a photosensitive conductive resin
    • H01L2224/0362Photolithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/038Post-treatment of the bonding area
    • H01L2224/0383Reworking, e.g. shaping
    • H01L2224/03845Chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Dicing (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

本揭露係關於一種半導體裝置之製造方法。一種半導體裝置之製造方法包括形成一第一開口於一第一半導體晶圓中,第一開口位於一第一半導體晶粒與一第二半導體晶粒之間,第一開口具有一第一寬度平行於第一半導體晶圓的一主要表面。切割第一半導體晶圓以形成一第二開口,其中第一開口以及第二開口將第一半導體晶粒與第二半導體晶粒分離,第二開口具有一第二寬度平行於第一半導體晶圓的主要表面且小於第一寬度。薄化第一半導體晶粒直到第一半導體晶粒具有一筆直的側壁。

Description

半導體裝置之製造方法
本發明係有關於一種半導體裝置之製造方法,特別有關於一種具有切割道的半導體裝置及其製造方法。
半導體裝置通常利用一半導體基板來製造,並且在半導體基板之中或之上製造這些裝置。一旦這些裝置製造完成,藉由於半導體基板上製造一或多個金屬化層以電性連接各個裝置。這些一或多個金屬化層可包括由介電層分開的導電層,其不只將各個裝置彼此連接,也將其連接至外部裝置。
然而,各個半導體晶粒並不是被單獨製造的。相反地,多個半導體晶粒形成於單一的半導體晶圓上。一旦晶粒被形成,會切割該半導體晶圓,使得獨立的晶粒彼此分離並且可以單獨利用。
不幸的是,切割的過程充滿可能會有損失慘重的結果的潛在的危險。分離獨立晶粒時伴隨的物理以及熱應力可能在晶粒被分離時對其造成傷害,使它們出現缺陷並且,在最糟的情況下使其無法運作。
本揭露包括一種半導體裝置之製造方法,包括:形成一第一開口於一第一半導體晶圓中,第一開口位於一第一半導體晶粒與一第二半導體晶粒之間,第一開口具有一第一寬 度平行於第一半導體晶圓的一主要表面。切割第一半導體晶圓以形成一第二開口,其中第一開口以及第二開口將第一半導體晶粒與第二半導體晶粒分離,第二開口具有一第二寬度平行於第一半導體晶圓的主要表面且小於第一寬度。薄化第一半導體晶粒直到第一半導體晶粒具有一筆直的側壁。
本揭露亦包括一種半導體裝置之製造方法,包括:形成一第一半導體晶粒以及一第二半導體晶粒之至少部分於一半導體基板中。移除半導體基板的一第一部分,其中第一部分位於半導體基板的一切割區域中。使用一鋸片移除半導體基板的一第二部分,其中半導體基板的第一部分的移除以及半導體基板的第二部分的移除將第一半導體晶粒與第二半導體晶粒分離並且形成第一半導體晶粒上的複數半導體材料延伸。
本揭露亦包括一種半導體裝置之製造方法,包括:形成一第一開口於一第一半導體晶圓中,第一開口位於一第一半導體晶粒與一第二半導體晶粒之間,其中第一開口的形成至少部分是藉由一蝕刻製程實施。形成一第二開口於第一半導體晶圓中,第二開口位於第一半導體晶粒與第二半導體晶粒之間,其中第二開口的形成至少部分是藉由一切割製程實施且其中第二開口具有一寬度小於第一開口並且第一開口及第二開口將第一半導體晶粒自第二半導體晶粒切割。接合第一半導體晶粒以及第二半導體晶粒至一第二半導體晶圓。在接合第一半導體晶粒以及第二半導體晶粒後,薄化第一半導體晶粒以及第二半導體晶粒,其中第一半導體晶粒以及第二半導體晶粒的薄化自第一半導體晶粒以及第二半導體晶粒移除複數延伸區域。
101‧‧‧第一晶圓
103‧‧‧第一半導體裝置晶片
105‧‧‧第二半導體裝置晶片
107‧‧‧第三半導體裝置晶片
109‧‧‧第一基板
111‧‧‧第一主動裝置層
113‧‧‧第一金屬化層
114‧‧‧第一鈍化層
115‧‧‧第一接觸墊
117‧‧‧虛線/切割道
201‧‧‧光阻
203‧‧‧第一開口
301‧‧‧箭號/蝕刻製程
401‧‧‧圓化角落
501‧‧‧保護薄膜/第一薄化製程/旋轉平台
601‧‧‧支撐基板
603‧‧‧虛線方框/鋸片
605‧‧‧第二開口
607‧‧‧第一基板延伸部
701‧‧‧第二晶圓
703‧‧‧第二基板
705‧‧‧第二主動裝置層
707‧‧‧第二金屬化層
708‧‧‧第二鈍化層
709‧‧‧第二接觸墊
711‧‧‧第四半導體裝置晶片
713‧‧‧第五半導體裝置晶片
715‧‧‧第六半導體裝置晶片
717‧‧‧第二切割道
801‧‧‧第二薄化製程/旋轉平台
W1‧‧‧第一寬度
W2‧‧‧第二寬度
W3‧‧‧第三寬度
D1‧‧‧第一深度
L1‧‧‧第一長度
R1‧‧‧弧半徑
T1‧‧‧第一厚度
T2‧‧‧第二厚度
第1圖根據一些實施例,繪示一第一半導體裝置、一第二半導體裝置以及一第三半導體裝置於一半導體基板之中以及之上。
第2圖根據一些實施例,繪示光阻的設置於半導體基板上。
第3圖根據一些實施例,繪示半導體基板經由光阻進行圖案化。
第4A-4B圖根據一些實施例,繪示光阻的移除。
第5圖根據一些實施例,繪示一保護層的設置。
第6圖根據一些實施例,繪示半導體基板的一切割製程。
第7圖根據一些實施例,繪示將第一半導體裝置、第二半導體裝置及第三半導體裝置接合至一半導體晶圓。
第8圖根據一些實施例,繪示第一半導體裝置、第二半導體裝置及第三半導體裝置的一薄化製程。
本說明書的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵部件。而本說明書以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化發明的說明。當然,這些特定的範例並非用以限定本發明。例如,若是本說明書以下的揭露內容敘述了將一第一特徵部件形成於一第二特徵部件之上或上方,即表示其包含了所形成的上述第一特徵部件與上述第二特徵部件是直接接觸的實施例,亦包含 了尚可將附加的特徵形成於上述第一特徵部件與上述第二特徵部件之間,而使上述第一特徵部件與上述第二特徵部件可能未直接接觸的實施例。再者,本發明的說明中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
另外,在空間上的相關用語,例如“之下”、“以下”、“下方”、“之上”、“上方”等等係用以容易表達出本說明書中的部件或特徵部件與其他部件或特徵部件的關係。這些空間上的相關用語除了涵蓋了圖式所繪示的方位外,還涵蓋裝置於使用或操作中的不同方位。裝置可具有不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
現在請參照第1圖,其繪示一第一晶圓101,其具有一第一半導體裝置晶片103、一第二半導體裝置晶片105以及一第三半導體裝置晶片107形成於第一晶圓101中。在一實施例中第一晶圓101包括一第一基板109、一第一主動裝置層111、一第一金屬化層113、一第一鈍化層114以及第一接觸墊115。第一基底109可包括塊狀矽、摻雜或未摻雜、或具有一主動層的一絕緣層上覆矽(silicon-on-insulator,SOI)基板。通常,一絕緣層上覆矽基板包括一層半導體材料例如矽、鍺、矽鍺、絕緣層上覆矽、絕緣層上覆矽鍺(silicon germanium on insulator,SGOI),或其組合。也可使用其他基板包括多層基板、梯度基板(gradient substrate)、玻璃基板、陶瓷基板,或混合定向基板 (hybrid orientation substrate)。
第一主動裝置層111可包括各種不同的主動裝置與被動裝置,例如電晶體、電容器、電阻器、感應器以及類似的裝置,其可用來產生第一晶圓101的設計上需要的結構或功能需求。可使用任何適合的方法形成主動裝置於第一晶圓101之中或之上,或形成在第一基板109之中或之上。
第一金屬化層113形成於第一基板109與位於第一主動裝置層111中的主動裝置上,並且可用於內連接,例如位於第一主動裝置層111中的主動裝置。在一實施例中,第一金屬化層113由介電材料與導電材料交替的層膜形成且可以藉由任何適合的製程(例如沉積製程、鑲嵌製程、雙鑲嵌製程等)形成。在一實施例中,有四層金屬化層,但是確切的介電材料與導電材料的層膜數量取決於第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107的設計。
第一鈍化層114可由一或多個適合的介電材料形成,例如氧化矽、氮化矽、低介電常數介電質(例如,碳摻雜之氧化物)、超低介電常數介電質(例如,多孔碳摻雜之二氧化矽)、聚合物(例如聚醯亞胺(polyimide))、其組合或與其相似的材料。第一鈍化層114可藉由如化學氣相沉積(chemical vapor deposition)等製程形成,雖然也可使用其他適合的製程,且第一鈍化層114可具有介於0.5μm至5μm的一厚度,例如約0.925μm。
第一接觸墊115可形成於第一金屬化層113上並且與之電性接觸以提供第一半導體裝置晶片103、第二半導體裝 置晶片105以及第三半導體裝置晶片107外部連結。第一接觸墊115由導電材料形成,例如鋁,雖然也可以使用其他適合的材料,例如銅、鎢或與其相似的材料。第一接觸墊115可藉由如化學氣相沉積等製程形成,雖然也可使用其他適合的材料或方法。一旦沉積第一接觸墊115的材料,可使用光微影遮罩以及蝕刻製程,將此材料圖案化為第一接觸墊115之形狀。
在一實施例中,形成第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107於第一晶圓101中,並且被沿著第一晶圓101的切割道(由第1圖的標號117之虛線所示)分隔開而分離以形成單獨的第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107。切割道117是藉由不要放置功能性的結構(例如主動裝置)進入預定要用做切割道117的區域來形成。其他結構(例如,測試墊,或用於平坦化的虛置金屬)可放置進入切割道117中,然而一旦第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107彼此分離,這些結構將對第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107不具功能性。切割道117可形成且具有介於10μm至200μm的一第一寬度W1,例如約80μm。
第2圖繪示一光阻201放置於第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107上。在一實施例中,光阻201係一感光性材料且可使用例如一旋轉塗佈技術形成光阻201而放置於第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107上,光阻201 具有介於0.5μm至15μm的一高度,例如5μm。一旦放置好,光阻201可接著藉由暴露至一圖案化能量源(例如,圖案化光源)進行圖案化以誘發一化學反應,進而導致暴露在圖案化光源的光阻201的部分發生一物理變化。接著根據需要的圖案,使用一顯影液(devoloper)於曝光的光阻201以利用其物理變化且選擇性移除光阻201曝光的部分或是未曝光的部分。
在一實施例中圖案化光阻201以形成第一開口203,其暴露出切割道117。因此的第一開口203可形成以具有切割道117的第一寬度W1,其可以是介於10μm至150μm,例如約80μm。然而,也可使用其他適合的寬度。
第3圖繪示,一旦將光阻201於第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107放置好,並圖案化後,可實施一第一蝕刻製程(如第3圖中標號301的箭號所示),使第一開口203延伸穿過第一鈍化層114、第一金屬化層113、第一主動裝置層111,且進入第一基板109。在一實施例中第一蝕刻製程可以是一或多個反應性離子蝕刻製程,其使用一或多個蝕刻劑進行方向性蝕刻以穿過第一金屬化層113、第一主動裝置層111,且進入第一基板109。
如此,雖然所使用的特定蝕刻劑及製程條件至少部份是取決於每個膜層選定的材料,但在一實施例中,第一基板109是矽,當第一蝕刻製程301進行蝕刻第一基板109時,可使用一蝕刻劑例如含氟化學物(F-chemicals)或氧氣(O2),與一載體氣體如氬氣,雖然也可使用任何適合的蝕刻劑。
此外,用於反應性離子蝕刻的射頻功率(PF power) 可設定為介於100W至4000W,例如約1500W,以及偏壓功率可設定為介於10V至500V,例如約200V。最後,蝕刻腔體的壓力可設定為介於10mTorr至200mTorr,例如約90mTorr,以及製程的溫度可控制在介於-20℃至50℃,例如約0℃。然而,這些條件係用以說明,可使用任何適合的蝕刻條件,並且所有的製程條件都完全包含於實施例的範疇內。
在一實施例中可使用第一蝕刻製程301使第一開口203至少部份延伸進入第一基板109。舉例來說,可使用第一蝕刻製程301使第一開口203延伸進入第一基板109至一第一深度D1,其介於5μm至100μm,例如約30μm。然而可使用任何其他適合的深度。
然而,當使用上述的乾蝕刻製程以將第一基板109圖案化,此敘述只是用以描述而非用以限定此實施例。舉例來說,一濕蝕刻製程可形成一曲面的側壁,在第一晶圓101浸於一液體蝕刻劑中,例如可使用氟化氫基底(HF-based)溶液或氫氧化四甲基胺(Tetramethylammonium hydroxide,TMAH),在介於室溫至80℃的溫度於介於1分鐘至30分鐘的時間。可使用任何適合的方法圖案化第一基板109,且所有此種的製程條件都被視為完全包含於實施例的範疇內。
第4A圖繪示光阻201的移除以及後移除清潔製程。在一實施例中可使用例如一灰化(ashing)製程,藉由提升光阻201的溫度直到光阻201產生一熱裂解並且使之容易移除。然而,可使用任何適合的移除製程。
實施灰化製程後,可使用一第一清潔製程以清潔 該結構,以幫助光阻201的移除。在一實施例中第一清潔製程可包括將第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107浸入一蝕刻劑以確保在接下來的製程前任何光阻201的餘下部份可自第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107上移除。舉例來說,可使第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107浸入一蝕刻劑如氟化氫介於1秒至100秒,例如約60秒。
第4B圖繪示如第4A圖繪示之實施例的俯視圖。在此實施例中繪示的切割道117位於第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107之間。然而,如俯視圖中可見,可使用第一蝕刻製程301(於第3圖所示)以於每個第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107形成圓化角落(如第4B圖以標號401之虛線的圓所示)。特別是,在此實施例中,第一半導體裝置晶片103可具有介於1mm至30mm的一第二寬度W2,例如約4mm,以及具有介於1mm至30mm的一第一長度L1,例如約4mm,而曲狀角落可具有介於50μm至500μm的一弧半徑R1,例如約250μm。然而,可使用任何適合的弧半徑。
藉由使用第一蝕刻製程301以在第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107的角落形成圓化角落,使得第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107於切割製程中較能抵抗伴隨而來的應力(於第6圖中加以描述)。特別是,圓 化角落401可分散以及抵抗鋸片的物理切割的應力並使第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107分離。如此,在切割製程中會產生較少的缺陷。
第5圖繪示設置一保護薄膜501於第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107上以及薄化第一基板109的背側。在一實施例中保護薄膜501可為一背部研磨膠帶(backgrindnig tape,BG tape),其可用來保護第一基板109的圖案化側邊於第一基板的薄化時免於研磨碎屑(grinding debris)的傷害。可藉由例如,一滾柱(roller),將保護薄膜501設置於第一開口204上(未分開繪示於第5圖)。
然而,雖然上述的保護薄膜501作為一背部研磨膠帶,此敘述只是用以描述而非用以限定此實施例。反之,可以使用任何適合的方法保護第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107的圖案化表面,包括第一開口203。所有的保護層膜都完全包含於實施例的範疇內。
第一開口203被保護後,使用一第一薄化製程(如第5圖中標號501之旋轉平台所表示)薄化第一基板109。在一實施例中可使用一化學機械研磨(chemical mechanical polishing)製程以薄化第一晶圓101,藉由化學反應物與研磨料的組合以及一或多個研磨墊以移除部分的第一基板109相對於第一接觸墊115的部分。然而,可使用任何適合的製程,例如一物理研磨(physical grinding)製程、一或多個蝕刻製程、其組合或與其相似的製程。在一實施例中薄化第一晶圓101以在薄化後具有介 於100μm至500μm的一第一厚度T1,例如約200μm。
第6圖繪示第一晶圓101的一切割製程進入第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107。在一實施例中切割製程前最初先移除保護薄膜501,且將第一晶圓101貼附於一支撐基板601。支撐基板601可為一膠帶,例如熟知的藍膠帶,且用以控制第一晶圓101的放置位置。如此,雖然支撐基板601在此可視為一膠帶,支撐基板601並不限於膠帶,且可以是任何其他的媒介,例如一承載晶圓、一承載玻璃、一金屬平板或一陶瓷平板,其可提供第一晶圓101的放置所需。
貼附於支撐基板601後,可藉由一鋸片(如第6圖中標號603的虛線方框所示)實施切割製程以切割並穿過切割道117以形成第二開口605,其穿過位於第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107之間的第一基板109。
在一實施例中,使用鋸片603來切割位於第一半導體裝置晶片103與第二半導體裝置晶片105之間以及於第二半導體裝置晶片105與第三半導體裝置晶片107之間的第一基板109,而並未從第一開口203的側壁移除多餘的材料。如此,第二開口605可形成具有小於第一寬度W1的一第三寬度W3,例如介於10μm至300μm,例如約50μm。然而,第三寬度W3可以使用任何適合的尺寸。因此,第一基板延伸部607餘留於第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107上。
此外,本技術領域具通常知識者可知,使用一鋸片切割第一晶圓101僅是一繪示的實施例,且並不限於此。切割第一晶圓101的替代方法,例如可使用一或多個蝕刻以將第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107分離。可使用這些方法及任何其他適合的方法以切割第一晶圓101。
第7圖繪示將第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107以晶片堆疊晶圓(chip on wafer,CoW)的接合製程的方式接合至一第二晶圓701。第二晶圓701可包括一第二基板703、一第二主動裝置層705、第二金屬化層707、第二鈍化層708以及第二接觸墊709,分別類似於第一基板109、第一主動裝置層111、第一金屬化層113、第一鈍化層114以及第一接觸墊115。第二基板703、第二主動裝置層705、第二金屬化層707、第二鈍化層708以及第二接觸墊709可形成一第四半導體裝置晶片711、一第五半導體裝置晶片713以及一第六半導體裝置晶片715(由第二切割道717分開),其可以各自與第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107一同操作。
第一半導體裝置晶片103可使用例如一熔融接合(fusion bonding)製程接合至第二晶圓701。在一實施例中,熔融接合製程可藉由於第二晶圓701需要接合處上實施一初始清潔(initial cleaning)製程而開始。在一特別實施例中,可於第二晶圓701實施一濕清潔程序(wet clean procedure)如SC-1或SC-2清潔程序以形成一親水表面。進行清潔後,第一半導體裝置晶 片103、第二半導體裝置晶片105以及第三半導體裝置晶片107對準至第二晶圓701上各自與第二晶圓701所需之部份對準,以及第二晶圓701的親水表面與第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107進行物理接觸以開始接合程序。第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107接觸至第二晶圓701後,使用一熱退火以加強接合。
然而,上述的熔融接合僅是製程中一個類型的例示,其用來使第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107接合至第二晶圓701,並非用以限定本實施例。反之,可使用任何適合的接合製程將第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107接合至第二晶圓701,且所有的製程都完全包含於實施例的範疇內。
第8圖繪示在第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107接合至第二晶圓701後,使用一第二薄化製程(如第8圖中標號801的旋轉平台所示)以自第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107移除第一基板延伸部607。在一實施例中第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107的薄化可使用例如一化學機械研磨,藉由化學反應物與研磨料及一或多個研磨墊的組合以移除第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107相對於第一接觸墊115的部份。然而,可使用任何 適合的製程,例如一物理研磨製程、一或多個蝕刻製程、其組合或與其相似的製程。在一實施例中第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107薄化至一厚度以足夠移除第一基板延伸部607,例如薄化至介於10μm至250μm的一厚度T2,例如約25μm。然而,可使用任何適合的厚度。
藉由使用第一蝕刻製程以圖案化切割道117以切割第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107,在切割製程產生的應力將會得到較佳的釋放,且任何切片導致的碎屑也會最小化。如此,將於第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107之間得到較佳的界面。如此,可達到較佳的一晶粒-晶圓熔融接合,導致具有較少缺陷的較強接合。
第一半導體裝置晶片103、第二半導體裝置晶片105以及第三半導體裝置晶片107接合至第二晶圓701且進行薄化後,可於第二晶圓701上實施額外的製程。舉例來說,可切割第二晶圓701以形成可使用的半導體裝置。
根據本揭露一實施例,一種半導體裝置之製造方法,包括形成一第一開口於第一半導體晶圓內且位於一第一半導體晶粒與一第二半導體晶粒之間,第一開口具有一第一寬度,其平行於第一半導體晶圓的一主要表面。切割第一半導體晶圓以形成一第二開口,其中第一開口與第二開口將第一半導體晶粒與第二半導體晶粒分離,第二開口具有一第二寬度,其平行於第一半導體晶圓的主要表面且小於第一寬度。薄化第一半導 體晶粒直到半導體晶粒具有筆直的一側壁。
根據本揭露另一實施例,一種半導體裝置之製造方法包括至少一第一半導體晶粒以及一第二半導體晶粒之部分形成於一半導體基板中。移除半導體基板的一第一部分,其中第一部分位於半導體基板的一切割區域中。使用一鋸片移除半導體基板的一第二部分,其中半導體基板的第一部分的移除以及半導體基板的第二部分的移除將第一半導體晶粒與第二半導體晶粒分離並且形成第一半導體晶粒上的複數半導體材料延伸部。
根據本揭露又另一實施例,一種半導體裝置之製造方法包括形成一第一開口於一第一半導體晶圓中,第一開口位於一第一半導體晶粒與一第二半導體晶粒之間,其中第一開口的形成至少部分是藉由一蝕刻製程實施。形成一第二開口於第一半導體晶圓中,第二開口位於第一半導體晶粒與第二半導體晶粒之間,其中第二開口的形成至少部分是藉由一切割製程實施且其中第二開口具有一寬度小於第一開口並且第一開口及第二開口將第一半導體晶粒自第二半導體晶粒切割。接合第一半導體晶粒以及第二半導體晶粒至一第二半導體晶圓。在接合第一半導體晶粒以及第二半導體晶粒後,薄化第一半導體晶粒以及第二半導體晶粒,其中第一半導體晶粒以及第二半導體晶粒的薄化自第一半導體晶粒以及第二半導體晶粒移除複數延伸區域。
以上概略說明了本揭露數個實施例的特徵部件,使所屬技術領域中具有通常知識者對於後續本揭露的詳細說 明可更為容易理解。任何所屬技術領域中具有通常知識者應瞭解到本說明書可輕易作為其它結構或製程的變更或設計基礎,以進行相同於本揭露實施例的目的及/或獲得相同的優點。任何所屬技術領域中具有通常知識者也可理解與上述等同的結構或製程並未脫離本揭露之精神和保護範圍內,且可在不脫離本揭露之精神和範圍內,當可作更動、替代與潤飾。
101‧‧‧第一晶圓
103‧‧‧第一半導體裝置晶片
105‧‧‧第二半導體裝置晶片
107‧‧‧第三半導體裝置晶片
109‧‧‧第一基板
111‧‧‧第一主動裝置層
113‧‧‧第一金屬化層
114‧‧‧第一鈍化層
115‧‧‧第一接觸墊
203‧‧‧第一開口
601‧‧‧支撐基板
603‧‧‧虛線方框/鋸片
605‧‧‧第二開口
607‧‧‧第一基板延伸部
W3‧‧‧第三寬度

Claims (12)

  1. 一種半導體裝置之製造方法,包括:形成一第一開口於第一半導體晶圓內,且該第一開口位於一第一半導體晶粒與一第二半導體晶粒之間,該第一開口具有一第一寬度,其平行於該第一半導體晶圓的一主要表面;切割該第一半導體晶圓以形成一第二開口,其中該第一開口與該第二開口將該第一半導體晶粒與該第二半導體晶粒分離,該第二開口具有一第二寬度,其平行於該第一半導體晶圓的該主要表面且小於該第一寬度;以及薄化該第一半導體晶粒直到該半導體晶粒具有筆直的一側壁。
  2. 如申請專利範圍第1項所述之半導體裝置之製造方法,更包括在薄化該第一半導體晶粒前,接合該第一半導體晶粒至一半導體基板上,以及在薄化該第二半導體晶粒前,接合該第二半導體晶粒至該半導體基板上。
  3. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該第一半導體晶粒的薄化至少一部份是使用一化學機械研磨製程實施。
  4. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該第一半導體晶粒的薄化移除該第一半導體晶粒上的延伸區域。
  5. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該第一開口的形成也圓化該第一半導體晶粒的一角落。
  6. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該第一開口的形成至少部份使用一乾蝕刻製程。
  7. 一種半導體裝置之製造方法,包括:形成一第一半導體晶粒以及一第二半導體晶粒之至少部分於一半導體基板中;移除該半導體基板的一第一部分,其中該第一部分位於該半導體基板的一切割區域中;以及使用一鋸片移除該半導體基板的一第二部分,其中該半導體基板的該第一部分的移除以及該半導體基板的該第二部分的移除將該第一半導體晶粒與該第二半導體晶粒分離並且形成該第一半導體晶粒上的複數半導體材料延伸部。
  8. 如申請專利範圍第7項所述之半導體裝置之製造方法,更包括自該第一半導體晶粒移除該等半導體材料延伸部,其中該半導體材料延伸部的移除包括於該第一半導體晶粒上實施一薄化製程。
  9. 如申請專利範圍第7項所述之半導體裝置之製造方法,其中移除該半導體基板的該第一部分更包括:形成一遮罩於該第一半導體晶粒上;以及實施一乾蝕刻製程經由該遮罩以移除該半導體基底的該第一部分。
  10. 如申請專利範圍第7項所述之半導體裝置之製造方法,其中該第一部分的移除形成一側壁於半導體基板上,該側壁至少部分是曲面的。
  11. 一種半導體裝置之製造方法,包括: 形成一第一開口於一第一半導體晶圓中,該第一開口位於一第一半導體晶粒與一第二半導體晶粒之間,其中第一開口的形成至少部分是藉由一蝕刻製程實施;形成一第二開口於該第一半導體晶圓中,該第二開口位於該第一半導體晶粒與該第二半導體晶粒之間,其中該第二開口的形成至少部分是藉由一切割製程實施且其中該第二開口具有一寬度小於該第一開口並且該第一開口及該第二開口將該第一半導體晶粒自該第二半導體晶粒切割;接合該第一半導體晶粒以及該第二半導體晶粒至一第二半導體晶圓;以及在接合該第一半導體晶粒以及該第二半導體晶粒後,薄化該第一半導體晶粒以及該第二半導體晶粒,其中該第一半導體晶粒以及該第二半導體晶粒的薄化自該第一半導體晶粒以及該第二半導體晶粒移除複數延伸區域。
  12. 如申請專利範圍第11項所述之半導體裝置之製造方法,更包括在形成該第一開口後以及在形成該第二開口前,薄化該第一半導體晶粒以及該第二半導體晶粒,其中在形成該第一開口後以及在形成該第二開口前,該第一半導體晶粒以及該第二半導體晶粒的薄化至少部分是使用一化學機械製程。
TW104126338A 2015-02-13 2015-08-13 半導體裝置之製造方法 TWI579971B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/622,420 US10163709B2 (en) 2015-02-13 2015-02-13 Semiconductor device and method

Publications (2)

Publication Number Publication Date
TW201630117A true TW201630117A (zh) 2016-08-16
TWI579971B TWI579971B (zh) 2017-04-21

Family

ID=56551907

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104126338A TWI579971B (zh) 2015-02-13 2015-08-13 半導體裝置之製造方法

Country Status (5)

Country Link
US (3) US10163709B2 (zh)
KR (2) KR20160100178A (zh)
CN (2) CN111834296A (zh)
DE (1) DE102015106064A1 (zh)
TW (1) TWI579971B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10163709B2 (en) * 2015-02-13 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10325861B2 (en) * 2016-09-30 2019-06-18 Intel IP Corporation Methods and structures for dicing integrated circuits from a wafer
SG11201906510PA (en) * 2017-03-02 2019-08-27 Ev Group E Thallner Gmbh Method and device for bonding chips
CN108933090A (zh) * 2017-05-26 2018-12-04 中芯国际集成电路制造(上海)有限公司 测试结构的形成方法及功函数的检测方法
CN111430229B (zh) * 2020-04-28 2023-12-01 长江存储科技有限责任公司 切割方法
CN114582803A (zh) 2020-12-02 2022-06-03 联华电子股份有限公司 半导体管芯以及半导体装置的制作方法

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6214440A (ja) 1985-07-12 1987-01-23 Mitsubishi Electric Corp 半導体ウエハ及びその分割方法
US5264699A (en) 1991-02-20 1993-11-23 Amber Engineering, Inc. Infrared detector hybrid array with improved thermal cycle reliability and method for making same
JP3455762B2 (ja) * 1999-11-11 2003-10-14 カシオ計算機株式会社 半導体装置およびその製造方法
TWI257711B (en) 2000-03-31 2006-07-01 Toyoda Gosei Kk Method for dicing semiconductor wafer into chips
US6717245B1 (en) 2000-06-02 2004-04-06 Micron Technology, Inc. Chip scale packages performed by wafer level processing
US6465344B1 (en) 2001-03-09 2002-10-15 Indigo Systems Corporation Crystal thinning method for improved yield and reliability
US6777267B2 (en) 2002-11-01 2004-08-17 Agilent Technologies, Inc. Die singulation using deep silicon etching
US6890836B2 (en) 2003-05-23 2005-05-10 Texas Instruments Incorporated Scribe street width reduction by deep trench and shallow saw cut
JP2005191508A (ja) * 2003-12-05 2005-07-14 Rohm Co Ltd 半導体装置およびその製造方法
JP2005243947A (ja) 2004-02-26 2005-09-08 Sumitomo Electric Ind Ltd 半導体装置の製造方法、及び半導体装置
US20050266661A1 (en) 2004-05-26 2005-12-01 Lei Li Semiconductor wafer with ditched scribe street
US7566634B2 (en) 2004-09-24 2009-07-28 Interuniversitair Microelektronica Centrum (Imec) Method for chip singulation
KR20070074937A (ko) 2006-01-11 2007-07-18 삼성전자주식회사 스크라이브 레인의 트렌치를 이용한 반도체 웨이퍼의다이싱 방법
US20070173032A1 (en) * 2006-01-25 2007-07-26 Lexmark International, Inc. Wafer dicing by channels and saw
DE102006026467B4 (de) * 2006-06-07 2018-06-28 Texas Instruments Deutschland Gmbh Vorrichtung für das Schleifen eines Wafers
JP2008103433A (ja) 2006-10-18 2008-05-01 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US7564115B2 (en) 2007-05-16 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tapered through-silicon via structure
US7838424B2 (en) * 2007-07-03 2010-11-23 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced reliability of wafer-level chip-scale packaging (WLCSP) die separation using dry etching
US7973413B2 (en) 2007-08-24 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via for semiconductor device
KR101614960B1 (ko) * 2007-10-18 2016-04-22 인벤사스 코포레이션 반도체 다이 어셈블리 및 반도체 다이 준비 방법
US8227902B2 (en) 2007-11-26 2012-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structures for preventing cross-talk between through-silicon vias and integrated circuits
US7843064B2 (en) 2007-12-21 2010-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and process for the formation of TSVs
US8278152B2 (en) 2008-09-08 2012-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding process for CMOS image sensor
US7825024B2 (en) 2008-11-25 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming through-silicon vias
US8158456B2 (en) 2008-12-05 2012-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming stacked dies
JP4649531B1 (ja) 2009-12-08 2011-03-09 新光電気工業株式会社 電子装置の切断方法
US8384231B2 (en) * 2010-01-18 2013-02-26 Semiconductor Components Industries, Llc Method of forming a semiconductor die
US8183579B2 (en) 2010-03-02 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. LED flip-chip package structure with dummy bumps
US8183578B2 (en) 2010-03-02 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Double flip-chip LED package components
JP2011181822A (ja) * 2010-03-03 2011-09-15 Elpida Memory Inc 半導体装置の製造方法
US8426961B2 (en) 2010-06-25 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded 3D interposer structure
US8581418B2 (en) 2010-07-21 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-die stacking using bumps with different sizes
US8105875B1 (en) 2010-10-14 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Approach for bonding dies onto interposers
US8637967B2 (en) 2010-11-15 2014-01-28 Infineon Technologies Ag Method for fabricating a semiconductor chip and semiconductor chip
JP5882364B2 (ja) * 2011-02-18 2016-03-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ウエハレベルのシンギュレーションのための方法
JP2012186309A (ja) 2011-03-04 2012-09-27 Omron Corp ウエハレベルパッケージの製造方法、及びウエハレベルパッケージ
US8507363B2 (en) 2011-06-15 2013-08-13 Applied Materials, Inc. Laser and plasma etch wafer dicing using water-soluble die attach film
US8652939B2 (en) * 2011-10-18 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for die assembly
US8803316B2 (en) 2011-12-06 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. TSV structures and methods for forming the same
US9466532B2 (en) * 2012-01-31 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Micro-electro mechanical system (MEMS) structures with through substrate vias and methods of forming the same
US8936969B2 (en) * 2012-03-21 2015-01-20 Stats Chippac, Ltd. Semiconductor device and method of singulating semiconductor wafer along modified region within non-active region formed by irradiating energy through mounting tape
US8803292B2 (en) 2012-04-27 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias and methods for forming the same
US8871613B2 (en) * 2012-06-18 2014-10-28 Semiconductor Components Industries, Llc Semiconductor die singulation method
US9443783B2 (en) 2012-06-27 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC stacking device and method of manufacture
US8845854B2 (en) 2012-07-13 2014-09-30 Applied Materials, Inc. Laser, plasma etch, and backside grind process for wafer dicing
US9070741B2 (en) * 2012-12-17 2015-06-30 Infineon Technologies Austria Ag Method of manufacturing a semiconductor device and a semiconductor workpiece
US8980726B2 (en) 2013-01-25 2015-03-17 Applied Materials, Inc. Substrate dicing by laser ablation and plasma etch damage removal for ultra-thin wafers
US8802504B1 (en) 2013-03-14 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US9299649B2 (en) 2013-02-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US8993380B2 (en) 2013-03-08 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D IC package
WO2014196105A1 (ja) * 2013-06-03 2014-12-11 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
US9356092B2 (en) * 2013-09-12 2016-05-31 Infineon Technologies Ag Semiconductor device and method for manufacturing a semiconductor device
US9330977B1 (en) * 2015-01-05 2016-05-03 Applied Materials, Inc. Hybrid wafer dicing approach using a galvo scanner and linear stage hybrid motion laser scribing process and plasma etch process
US9755105B2 (en) * 2015-01-30 2017-09-05 Nichia Corporation Method for producing light emitting device
US10163709B2 (en) * 2015-02-13 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Also Published As

Publication number Publication date
CN111834296A (zh) 2020-10-27
US20160240439A1 (en) 2016-08-18
US11688639B2 (en) 2023-06-27
TWI579971B (zh) 2017-04-21
CN105895583A (zh) 2016-08-24
KR101784655B1 (ko) 2017-10-11
US20200118879A1 (en) 2020-04-16
US10510604B2 (en) 2019-12-17
KR20170054357A (ko) 2017-05-17
DE102015106064A1 (de) 2016-08-18
US20190122930A1 (en) 2019-04-25
US10163709B2 (en) 2018-12-25
KR20160100178A (ko) 2016-08-23

Similar Documents

Publication Publication Date Title
TWI579971B (zh) 半導體裝置之製造方法
US10779100B2 (en) Method for manufacturing a microphone
US8735260B2 (en) Method to prevent metal pad damage in wafer level package
JP2020520118A (ja) 処理された積層ダイ
CN107316840B (zh) 混合接合半导体晶片的3dic结构与方法
TWI698954B (zh) 切割晶圓背側上具有焊料凸塊的晶圓
US10410923B2 (en) Method of processing wafer
TWI573239B (zh) 半導體裝置及其製造方法
US11437344B2 (en) Wafer bonding method
US20150380327A1 (en) Wafer bonding structures and wafer processing methods
TWI704598B (zh) 形成深溝渠於半導體基板內的方法及深溝渠隔離結構
KR100957185B1 (ko) 3차원 집적회로 집적화 시 상부 층 실리콘의 품질을 유지하기 위한 웨이퍼 가공 방법
US9728509B1 (en) Laser scribe structures for a wafer
TWI735275B (zh) 半導體結構的製作方法
US11923205B2 (en) Method for manufacturing semiconductor device
TWI822864B (zh) 處理半導體基板之方法及非暫態電腦可讀取媒體
CN115295409A (zh) 晶圆划片方法
CN116230652A (zh) 半导体器件及其制造方法和刻蚀方法