TW201616923A - 用於均勻電漿處理的噴嘴 - Google Patents

用於均勻電漿處理的噴嘴 Download PDF

Info

Publication number
TW201616923A
TW201616923A TW104125127A TW104125127A TW201616923A TW 201616923 A TW201616923 A TW 201616923A TW 104125127 A TW104125127 A TW 104125127A TW 104125127 A TW104125127 A TW 104125127A TW 201616923 A TW201616923 A TW 201616923A
Authority
TW
Taiwan
Prior art keywords
nozzle
gas
outlet
inlet portion
outlets
Prior art date
Application number
TW104125127A
Other languages
English (en)
Other versions
TWI674040B (zh
Inventor
米喜拉羅西特
伯瑟提席瓦蘇利錢德拉饒
白永勝
希魯納弗卡羅蘇司瑞斯坎薩羅傑
維亞普榮修裘
孫誠
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201616923A publication Critical patent/TW201616923A/zh
Application granted granted Critical
Publication of TWI674040B publication Critical patent/TWI674040B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

一種用於均勻電漿處理的噴嘴包含入口部及出口部。該入口部具有大致平行於垂直軸的側表面。該入口部包含複數個氣體通道。該出口部被耦接到該入口部。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。

Description

用於均勻電漿處理的噴嘴
本專利申請案主張於2014年8月15日提出申請、標題為「用於均勻電漿處理的噴嘴(NOZZLE FOR UNIFORM PLASMA PROCESSING)」的美國非臨時專利申請案第14/461,318號之優先權權益,該申請案之內容以引用方式全部併入本文中。
本發明之實施例係關於電子元件製造的領域,特別是關於製造用於均勻電漿處理的噴嘴。
目前,許多的電子系統,例如高電壓積體電路(IC)、微機電系統(MEMS)、光電元件及其他電子系統,都需要高深寬比的通孔和溝槽。一般來說,電子元件(例如通孔、溝槽、柱狀物)的製造涉及蝕刻半導體基板。通常,高深寬比的穿矽通孔(TSV)和溝槽是使用波希(Bosch)製程製造的,波希製程反覆交替於電漿蝕刻和沉積模式之間。通常,波希製程使用反覆的交替電漿沉積和蝕刻模式來形成聚合物側表面鈍化層,同時在垂直方向上蝕刻通孔。
目前沉積的聚合物鈍化層具有不理想的非均勻輪廓。通孔製造目前僅限於使用現有的標準噴嘴。
一般來說,整個晶圓的不均勻電漿蝕刻和沉積會導致電子元件(例如柱狀物、通孔及溝槽)的輪廓變化。也就是說,在電漿沉積和蝕刻操作中任一者的任何不均勻性不僅會明顯影響通孔深度的均勻性,而且還會影響整個晶圓的輪廓均勻性。另外,整個晶圓的不均勻電漿蝕刻和沉積會引入電子元件缺陷(例如條紋、彎曲及錐形物)。如此一來,整個晶圓的不均勻電漿蝕刻和沉積會影響產率並增加電子元件製造的成本。
本案描述了提供用於均勻電漿處理的噴嘴的方法和設備。在一個實施例中,一種用於均勻電漿處理的噴嘴包含入口部及出口部。該入口部具有大致平行於垂直軸延伸的側表面。該入口部包含複數個氣體通道。該出口部被耦接到該入口部。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。
在一個實施例中,一種用於均勻電漿處理的噴嘴包含入口部及出口部。該入口部具有大致平行於垂直軸的側表面。該入口部包含複數個氣體通道。該出口部被耦接到該入口部。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。至少其中一個氣體通道相對於該垂直軸以一角度延伸。
在一個實施例中,一種用於均勻電漿處理的噴嘴包含入口部及出口部。該入口部具有大致平行於垂直軸的側表面。該入口部包含複數個氣體通道。該出口部被耦 接到該入口部。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。一個空腔介於該入口部與該出口部之間。
在一個實施例中,一種用於均勻電漿處理的噴嘴包含入口部及出口部。該入口部具有大致平行於垂直軸的側表面。該入口部包含複數個氣體通道。該出口部被耦接到該入口部。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。該出口部具有凸形的形狀。
在一個實施例中,一種用於均勻電漿處理的噴嘴包含入口部及出口部。該入口部具有大致平行於垂直軸的側表面。該入口部包含複數個氣體通道。該出口部被耦接到該入口部。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。該出口部具有凹形的形狀。
在一個實施例中,一種用於均勻電漿處理的噴嘴包含入口部及出口部。該入口部具有大致平行於垂直軸的側表面。該入口部包含複數個氣體通道。該出口部被耦接到該入口部。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。該出口部包含至少一個步階。
在一個實施例中,一種用於均勻電漿處理的噴嘴包含入口部及出口部。該入口部具有大致平行於垂直軸的側表面。該入口部包含複數個氣體通道。該出口部被耦 接到該入口部。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。該出口部包含相對於該垂直軸以一角度延伸的側表面。
在一個實施例中,一種用於均勻電漿處理的噴嘴包含入口部及出口部。該入口部具有大致平行於垂直軸的側表面。該入口部包含複數個氣體通道。該出口部被耦接到該入口部。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。出口的數量多於氣體通道的數量。
在一實施例中,一種電漿處理系統包含處理腔室、電漿源、及被耦接至該電漿源的噴嘴,該噴嘴用以接收氣體以在該處理腔室中產生電漿。該處理腔室包含台座,該台座用以固持包含基板的工件。該噴嘴包含入口部及被耦接至該入口部的出口部。該入口部包含大致平行於垂直軸的側表面。該入口部包含複數個氣體通道。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。
在一實施例中,一種電漿處理系統包含處理腔室、電漿源、及被耦接至該電漿源的噴嘴,該噴嘴用以接收氣體以在該處理腔室中產生電漿。該處理腔室包含台座,該台座用以固持包含基板的工件。該噴嘴包含入口部及被耦接至該入口部的出口部。該入口部包含大致平行於垂直軸的側表面。該入口部包含複數個氣體通道。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成 非直角的角度。至少其中一個氣體通道相對於該垂直軸以一角度延伸。
在一實施例中,一種電漿處理系統包含處理腔室、電漿源、及被耦接至該電漿源的噴嘴,該噴嘴用以接收氣體以在該處理腔室中產生電漿。該處理腔室包含台座,該台座用以固持包含基板的工件。該噴嘴包含入口部及被耦接至該入口部的出口部。該入口部包含大致平行於垂直軸的側表面。該入口部包含複數個氣體通道。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。一個空腔介於該入口部與該出口部之間。
在一實施例中,一種電漿處理系統包含處理腔室、電漿源、及被耦接至該電漿源的噴嘴,該噴嘴用以接收氣體以在該處理腔室中產生電漿。該處理腔室包含台座,該台座用以固持包含基板的工件。該噴嘴包含入口部及被耦接至該入口部的出口部。該入口部包含大致平行於垂直軸的側表面。該入口部包含複數個氣體通道。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。該出口部具有凸形的形狀。
在一實施例中,一種電漿處理系統包含處理腔室、電漿源、及被耦接至該電漿源的噴嘴,該噴嘴用以接收氣體以在該處理腔室中產生電漿。該處理腔室包含台座,該台座用以固持包含基板的工件。該噴嘴包含入口部及被耦接至該入口部的出口部。該入口部包含大致平行於垂直軸的側表面。該入口部包含複數個氣體通道。該出口 部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。該出口部具有凹形的形狀。
在一實施例中,一種電漿處理系統包含處理腔室、電漿源、及被耦接至該電漿源的噴嘴,該噴嘴用以接收氣體以在該處理腔室中產生電漿。該處理腔室包含台座,該台座用以固持包含基板的工件。該噴嘴包含入口部及被耦接至該入口部的出口部。該入口部包含大致平行於垂直軸的側表面。該入口部包含複數個氣體通道。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。該出口部包含至少一個步階。
在一實施例中,一種電漿處理系統包含處理腔室、電漿源、及被耦接至該電漿源的噴嘴,該噴嘴用以接收氣體以在該處理腔室中產生電漿。該處理腔室包含台座,該台座用以固持包含基板的工件。該噴嘴包含入口部及被耦接至該入口部的出口部。該入口部包含大致平行於垂直軸的側表面。該入口部包含複數個氣體通道。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。該出口部包含相對於該垂直軸以一角度延伸的側表面。
在一實施例中,一種電漿處理系統包含處理腔室、電漿源、及被耦接至該電漿源的噴嘴,該噴嘴用以接收氣體以在該處理腔室中產生電漿。該處理腔室包含台座,該台座用以固持包含基板的工件。該噴嘴包含入口部及被耦接至該入口部的出口部。該入口部包含大致平行於 垂直軸的側表面。該入口部包含複數個氣體通道。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。出口的數量多於氣體通道的數量。
在一個實施例中,形成包含複數個氣體通道的噴嘴之入口部。該入口部具有大致平行於垂直軸的側表面。形成被耦接至該入口部的出口部。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。
在一個實施例中,形成包含複數個氣體通道的噴嘴之入口部。該入口部具有大致平行於垂直軸的側表面。形成被耦接至該入口部的出口部。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。至少其中一個氣體通道相對於該垂直軸成一角度。
在一個實施例中,形成包含複數個氣體通道的噴嘴之入口部。該入口部具有大致平行於垂直軸的側表面。形成被耦接至該入口部的出口部。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。在該入口部與該出口部之間形成空腔。
在一個實施例中,形成包含複數個氣體通道的噴嘴之入口部。該入口部具有大致平行於垂直軸的側表面。形成被耦接至該入口部的出口部。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。該出口部具有凸形的形狀。
在一個實施例中,形成包含複數個氣體通道的噴嘴之入口部。該入口部具有大致平行於垂直軸的側表面。形成被耦接至該入口部的出口部。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。該出口部具有凹形的形狀。
在一個實施例中,形成包含複數個氣體通道的噴嘴之入口部。該入口部具有大致平行於垂直軸的側表面。形成被耦接至該入口部的出口部。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。出口的數量多於氣體通道的數量。
在一個實施例中,形成包含複數個氣體通道的噴嘴之入口部。該入口部具有大致平行於垂直軸的側表面。形成被耦接至該入口部的出口部。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。該出口部包含至少一個步階。
根據附圖及以下的實施方式來看,本發明的其他特徵將將顯而易見。
100‧‧‧視圖
101‧‧‧入口部
102‧‧‧氣體通道
103‧‧‧氣體通道
104‧‧‧側表面
105‧‧‧頂表面
106‧‧‧出口部
107‧‧‧氣體出口
108‧‧‧氣體出口
110‧‧‧視圖
111‧‧‧向右的方向
112‧‧‧垂直Y軸
114‧‧‧向下的方向
115‧‧‧向左的方向
120‧‧‧視圖
121‧‧‧銳角
122‧‧‧銳角
123‧‧‧角度
124‧‧‧側表面
125‧‧‧角度
126‧‧‧氣體出口
127‧‧‧鈍角
128‧‧‧鈍角
200‧‧‧視圖
201‧‧‧入口部
202‧‧‧氣體通道
203‧‧‧氣體通道
204‧‧‧側表面
205‧‧‧頂表面
206‧‧‧出口部
207‧‧‧氣體出口
208‧‧‧氣體出口
210‧‧‧視圖
211‧‧‧水平X軸
212‧‧‧垂直Y軸
214‧‧‧向下的方向
215‧‧‧向左的方向
220‧‧‧視圖
223‧‧‧角度
224‧‧‧第一步階
225‧‧‧銳角
226‧‧‧角度
227‧‧‧最後步階
228‧‧‧步階
229‧‧‧步階
230‧‧‧視圖
231‧‧‧鈍角
232‧‧‧銳角
233‧‧‧鈍角
234‧‧‧窗袋
235‧‧‧銳角
241‧‧‧氣體出口
242‧‧‧氣體出口
300‧‧‧視圖
301‧‧‧入口部
302‧‧‧氣體通道
303‧‧‧氣體通道
304‧‧‧側表面
305‧‧‧頂表面
306‧‧‧出口部
307‧‧‧氣體出口
308‧‧‧氣體出口
310‧‧‧視圖
311‧‧‧水平X軸
313‧‧‧凹半徑
314‧‧‧向下的方向
315‧‧‧向左的方向
320‧‧‧視圖
321‧‧‧銳角
324‧‧‧空腔
331‧‧‧銳角
341‧‧‧窗袋
400‧‧‧視圖
401‧‧‧入口部
402‧‧‧氣體通道
403‧‧‧氣體通道
404‧‧‧側表面
405‧‧‧頂表面
406‧‧‧出口部
407‧‧‧氣體出口
408‧‧‧氣體出口
410‧‧‧視圖
411‧‧‧水平X軸
412‧‧‧垂直軸
413‧‧‧凸半徑
414‧‧‧向下的方向
420‧‧‧視圖
421‧‧‧銳角
424‧‧‧空腔
431‧‧‧支撐特徵
432‧‧‧銳角
434‧‧‧窗袋
500‧‧‧視圖
501‧‧‧內部
502‧‧‧氣體通道
503‧‧‧氣體通道
504‧‧‧側表面
505‧‧‧頂表面
506‧‧‧外部
507‧‧‧氣體出口
508‧‧‧氣體出口
510‧‧‧視圖
511‧‧‧水平X軸
512‧‧‧垂直軸
513‧‧‧凸半徑
514‧‧‧向下的方向
516‧‧‧氣體出口
517‧‧‧支撐特徵
518‧‧‧上部
519‧‧‧下部
520‧‧‧視圖
521‧‧‧銳角
522‧‧‧支撐特徵
524‧‧‧銳角
531‧‧‧窗袋
534‧‧‧空腔
600‧‧‧電漿系統
601‧‧‧處理腔室
602‧‧‧台座
603‧‧‧工件
604‧‧‧直流電源
605‧‧‧電漿偏壓功率
606‧‧‧電漿偏壓功率
607‧‧‧射頻匹配
608‧‧‧開口
609‧‧‧壓力控制系統
610‧‧‧電源
611‧‧‧質量流量控制器
612‧‧‧處理氣體
613‧‧‧電漿源
614‧‧‧淋灑噴嘴
615‧‧‧電漿
616‧‧‧排氣出口
617‧‧‧控制系統
618‧‧‧處理器
619‧‧‧溫度控制器
620‧‧‧記憶體
621‧‧‧輸入/輸出裝置
700‧‧‧電漿處理腔室
701‧‧‧腔室主體
702‧‧‧排氣出口
703‧‧‧蓋體
704‧‧‧加熱墊
705‧‧‧夾鉗
801‧‧‧圖
802‧‧‧圖
803‧‧‧圖
807‧‧‧邊緣部
808‧‧‧中央部
809‧‧‧中間部
900‧‧‧電子元件結構
901‧‧‧基板
902‧‧‧元件層
903‧‧‧遮罩
904‧‧‧開口
905‧‧‧噴嘴
906‧‧‧電漿粒子
907‧‧‧電漿粒子
908‧‧‧鈍化層
909‧‧‧電漿粒子
910‧‧‧視圖
911‧‧‧底部
912‧‧‧底部部分
913‧‧‧開口
920‧‧‧視圖
930‧‧‧視圖
1001‧‧‧曲線圖
1002‧‧‧曲線圖
1003‧‧‧弦長
1004‧‧‧速度大小
1005‧‧‧曲線
1006‧‧‧曲線
1007‧‧‧曲線
1008‧‧‧曲線
1009‧‧‧曲線
1011‧‧‧曲線
本文所述的實施例是藉由舉例而非限定的方式在附圖之圖式中進行說明,在附圖中,類似的元件符號表示類似的元件。
第1圖圖示依據本發明之一個實施例用於均勻電漿處理的噴嘴。
第2圖圖示依據本發明之一個實施例用於均勻電漿處理的噴嘴。
第3圖圖示依據本發明之一個實施例用於均勻電漿處理的噴嘴。
第4圖圖示依據本發明之一個實施例用於均勻電漿處理的噴嘴。
第5圖圖示依據本發明之一個實施例用於均勻電漿處理的噴嘴。
第6圖圖示用以提高電漿處理之均勻度的電漿系統之一個實施例的方塊圖。
第7圖為依據本發明之一個實施例的電漿處理腔室之三維視圖。
第8圖圖示依據本發明之一個實施例通過晶圓上方的噴嘴的氣流速度分佈,該晶圓被放在電漿腔室中。
第9A圖圖示依據本發明之一個實施例的電子元件結構之側視圖。
第9B圖為類似於第9A圖的視圖910,該圖圖示依據本發明之一個實施例在開口904中沉積鈍化層908。
第9C圖為類似於第9B圖的視圖920,該圖圖示依據本發明之一個實施例蝕刻一部分元件層以蝕穿開口904底部上的鈍化層。
第9D圖為依據本發明之一個實施例在多次重複第9B圖和第9C圖描述的蝕刻和沉積操作之後的視圖930。
第10圖圖示依據本發明之一個實施例用於各種噴嘴設計的氣體速度圖。
在以下的描述中提出許多的具體細節,例如具體的材料、化學品、元件的尺寸等,以便提供對本發明的一個或更多個實施例的徹底瞭解。然而,對於所屬技術領域中具有通常知識者而言,將顯而易見的是,可以在沒有這些具體細節之下實施本發明的一個或更多個實施例。在其他情況下,本案并未非常詳細地描述半導體製造製程、技術、材料、設備等,以避免不必要地混淆本描述。在無需過多實驗之情況下,所屬技術領域中具有通常知識者將能夠利用本案所包括的描述來實施適當的功能。
雖然描述並在附圖中圖示出本發明的某些例示性實施例,但應當理解的是,這些實施例僅僅說明而非限制本發明,而且本發明並不限於所圖示和描述的特定結構和配置,因為所屬技術領域中具有通常知識者可以想出多種的修改。
在整個說明書中,提及「一個實施例」、「另一個實施例」或「一實施例」意指結合實施例所描述的特定特徵、結構或特性被包括在本發明的至少一個實施例中。因此,出現在說明書全文各處的片語「在一個實施例 中」或「在一實施例中」不一定都是指同一個實施例。此外,可以在一個或更多個實施例中以任意的適當方式組合特定的特徵、結構或特性。
此外,發明態樣存在於比單一揭示實施例的所有特徵更少的特徵中。因此,實施方式後面的申請專利範圍被明確地併入此實施方式中,而且每個請求項本身代表本發明的個別實施例。雖然已經就幾個實施例描述了本發明,但所屬技術領域中具有通常知識者將認同的是,本發明並不限於所描述的實施例,而是可以在所附申請專利範圍的精神和範圍內進行修改和變更來實施。因此,描述被視為說明性而非限制性的。
本案描述了提供用以提高大致上平行於用於電漿處理的晶圓的均勻度的淋灑噴嘴的方法和設備。現有的氣體噴嘴具有有限數量的水平和垂直氣體通道,而且無法在整個晶圓上提供均勻的氣流速度分佈。
在一個實施例中,用以提高大致平行於用於電漿處理的晶圓的均勻度的淋灑噴嘴包含入口部和出口部。該入口部具有大致平行於垂直軸的側表面。該入口部包含複數個氣體通道。該出口部被耦接到該入口部。該出口部包含複數個出口。至少其中一個出口相對於該垂直軸成非直角的角度。在一實施例中,噴嘴朝向晶圓分配明顯比現有的噴嘴設計更均勻的淋灑氣體,並有利地提高大致平行於晶圓的電漿處理之均勻度,如以下進一步詳細描述的。
在一實施例中,噴嘴有利地防止了在噴嘴的局部電漿點燃,如以下進一步詳細描述的。本文所述的噴嘴之實施例可以被輕易地組裝並安裝到現有的電漿腔室蓋上,從而有利地節省了製造成本,如以下進一步詳細描述的。在一實施例中,噴嘴提供在晶圓中心的速度大於現有噴嘴設計的氣流,如以下進一步詳細描述的。在一實施例中,噴嘴有利地輸出在晶圓中心的速度大於現有噴嘴設計的氣流,如以下進一步詳細描述的。
一般來說,用於電漿處理的噴嘴是被設計用以控制電漿處理腔室中的氣流之特性(例如速率、速度、方向、質量、形狀、壓力或上述特性之任意組合)的裝置。在一實施例中,電漿沉積和蝕刻均勻度取決於腔室中的氣體分配。在一實施例中,蝕刻均勻度取決於電感耦合電漿(ICP)功率分佈更甚於腔室中的氣體分配,而聚合物的沉積均勻度受氣體分配的影響更甚於ICP功率分佈。在一實施例中,使用基於計算流體動力學(CFD)的模型化來判斷現有噴嘴的缺點,並開發用於在電漿處理腔室中的晶圓上方均勻分配氣流的噴嘴。
在一實施例中,包含以下針對第1-5圖描繪的入口部和出口部的噴嘴提供了優點,因為出口噴嘴部分配均勻的淋灑氣體到晶圓上。在一實施例中,針對第1-5圖描繪的噴嘴之氣體通道設計有利地防止了在噴嘴的局部電漿點燃。在一實施例中,以下針對第3-5圖描繪的二部分噴嘴提供了優點,因為該二部分噴嘴允許在入口部和出 口部之間的空腔內逐漸增加氣體,以朝向晶圓輸出平穩的淋灑狀氣流。
第1圖圖示依據本發明的一個實施例用於均勻電漿處理的噴嘴。視圖100為噴嘴的俯視三維圖。視圖110為噴嘴的仰視三維圖。視圖120為大致上平行於A-A’軸的噴嘴剖視圖。如第1圖所示,噴嘴包含入口部101和出口部106。出口部106和入口部101形成沒有空腔的單一連續部件。入口部101包含大致上平行於垂直Y軸112的側表面104。在一實施例中,入口部101具有圓柱形的形狀。在一實施例中,出口部106具有圓柱形的形狀。該入口部具有大致上平行於水平X軸111的頂表面105。在一實施例中,頂表面105經配置以提供與處理腔室的現有蓋體設計的相容性。該入口部包含複數個氣體通道,例如穿過頂表面105向下到達出口部106的氣體通道102和103。在視圖100中,穿過頂表面105的氣體通道係由複數個入口孔表示。如視圖120所示,至少其中一個氣體通道(例如氣體通道102)以相對於垂直Y軸之角度123延伸。如視圖120所示,至少其中一個氣體通道(例如氣體通道103)大致平行於垂直Y軸延伸。
出口部106在入口部101下方。出口部106包含複數個氣體出口,例如穿過出口部106之底部的氣體出口107、氣體出口108及氣體出口126。在視圖110中,穿過外部506之底部的氣體出口係由複數個出口孔表示。如視圖110和120所示,氣體出口107為位於出口部 底部之中心附近的其中一個氣體出口。氣體出口108和126穿過側表面124。如視圖120和110所示,側表面124以相對於垂直Y軸之角度125延伸。在一實施例中,至少其中一個氣體出口在出口部中以相對於垂直Y軸和水平X軸非直角(90度)的角度延伸。在一實施例中,至少其中一個氣體出口在出口部中大致平行於垂直Y軸延伸。如第1圖的視圖120所示,氣體出口108相對於在向下的方向114上延伸的垂直Y軸成銳角121(小於90度角的角度),並且相對於在向上方向112上延伸的垂直Y軸成鈍角127(大於90度的角度)。氣體出口108相對於在向右的方向111上延伸的水平X軸成銳角122,並且相對於在向左的方向115上延伸的水平X軸成鈍角128。如視圖120所示,氣體出口126相對於垂直Y軸和水平X軸成非90度角的角度。
在-一實施例中,與穿過頂表面105的氣體通道相關聯的入口孔之數量係和與穿過出口部106之外表面的出口相關聯的孔之數量相同。在更具體的實施例中,入口孔的數量為約16,並且出口孔的數量為約16。在一實施例中,出口部106的出口孔之數量大於入口部101的入口孔之數量。
在一實施例中,入口孔直徑大於出口孔直徑。在一實施例中,入口孔直徑為約0.08英吋至約0.14英吋。在更具體的實施例中,入口孔直徑為約0.12英吋。 在一實施例中,出口孔直徑為約0.03英吋至約0.07英吋。在更具體的實施例中,出口孔直徑為約0.05英吋。
第2圖圖示依據本發明的一個實施例用於均勻電漿處理的噴嘴。視圖200為噴嘴的俯視圖。視圖210為噴嘴的仰視圖。視圖230為噴嘴的三維視圖。視圖220為大致上平行於A-A’軸的噴嘴剖視圖。
如第2圖所示,噴嘴包含入口部201和出口部 206。出口部206和入口部201形成沒有空腔的單一連續部件。入口部201包含大致平行於水平X軸211的頂表面205及複數個氣體通道,例如穿過頂表面205的氣體通道202和203。在一實施例中,入口部201具有圓柱形的形狀。入口部201在頂表面205上具有窗袋234,以提供與處理腔室(未圖示)之現有蓋體設計的相容性或以其他方式耦接到處理腔室的蓋體。
在視圖200和230中,氣體通道(例如穿過頂表面205的氣體通道202和203)係由複數個入口孔表示。至少其中一個氣體通道相對於大致平行於垂直Y軸212的側表面204成一角度。如視圖220所示,氣體通道202以相對於垂直Y軸212之角度223延伸。如第2圖所示,氣體通道202相對於垂直Y軸212成銳角235。在一實施例中,入口部201中的至少其中一個氣體通道平行於垂直Y軸。出口部206在入口部201下方。出口部206包含複數個氣體出口,例如以不同角度穿過出口部206之底表面的氣體出口207和氣體出口208。在視圖210中,氣 體出口(例如穿過出口部206之底表面的氣體出口207和208)係以複數個出口孔表示。
如第2圖所示,噴嘴的出口部包含同心的步階,例如第一步階224、步階228、步階229、及在出口部206之底部中心的最後步階227。氣體出口延伸通過出口部206的壁,使得與出口相關聯的孔位於每個步階上。如視圖210所繪示,出口207的孔在步階228上,出口208的孔在步階229上。在一實施例中,入口部201的氣體通道被耦接到出口部206的複數個氣體出口。如視圖220所示,氣體通道203被連接到氣體出口241和242。
在一實施例中,至少其中一個氣體出口在出口部中以相對於垂直Y軸和水平X軸非90度的角度延伸。在一實施例中,至少其中一個氣體出口在出口部中大致平行於垂直Y軸延伸。如視圖220所示,氣體出口208相對於在向下的方向214上延伸的垂直Y軸成銳角221,並且相對於在向上的方向212上延伸的垂直Y軸成鈍角231。氣體出口208相對於在向右方向211上延伸的水平X軸成銳角232,並且相對於在向左的方向215上延伸的水平X軸成鈍角233。
如視圖220所繪示,第一步階224相對於垂直Y軸成銳角225,並且最後步階227相對於垂直Y軸成角度226。在一實施例中,第一步階224相對於垂直Y軸成約30度,隨後的步階以12度的增量形成,並且最後步階227相對於垂直Y軸成約90度。在一實施例中,每個步階 包括四個或八個出口孔。如視圖210所示,步階227具有四個出口孔,每個步階228和229都具有八個出口孔。
在一實施例中,穿過頂表面205的氣體通道的入口孔之數量係和與穿過出口部206之階梯底表面的出口相關聯的孔之數量相同。在更具體的實施例中,入口孔的數量為約24個,而出口孔的數量為約24個。在一實施例中,出口部206的出口孔之數量多於入口部201的入口孔之數量。
在一實施例中,入口孔直徑大於出口孔直徑。在一實施例中,入口孔直徑為約0.08英吋至約0.14英吋。在更具體的實施例中,入口孔直徑為約0.12英吋。在一實施例中,出口孔直徑為約0.03英吋至約0.07英吋。在更具體的實施例中,出口孔直徑為約0.05英吋。
在一實施例中,如第1-2圖所示用以增強到晶圓上的淋灑狀氣流之均勻分佈的噴嘴係由介電質材料製成,該介電質材料例如陶瓷或其他介電質材料。在一實施例中,如第1-2圖所示的噴嘴係經由燒結、研磨、及鑽孔製程或在噴嘴製造的技術領域中具有通常知識者習知的其他技術製造。在一實施例中,如關於第1圖所描述的噴嘴之氣體通道和出口係經由燒結、研磨、及鑽孔製程或在噴嘴製造的技術領域中具有通常知識者習知的其他技術形成。
第3圖圖示依據本發明的一個實施例用於均勻電漿處理的噴嘴。視圖300為噴嘴的俯視三維圖。視圖 310為噴嘴的仰視三維圖。視圖320為大致上平行於A-A’軸的噴嘴剖視圖。
如第3圖所示,噴嘴包含兩個部分。第一部分包含入口部301,並且第二部分包含出口部306。如第3圖所示,出口部306具有凹形的形狀,使得空腔324在入口部301和出口部306之間形成。出口部306具有凹半徑313。在一實施例中,出口部306的凹半徑為約0.5英吋至約1.5英吋。在更具體的實施例中,出口部306的凹半徑為約1英吋。
入口部301包含大致平行於水平X軸311的頂表面305及複數個氣體通道,例如穿過頂表面305的氣體通道302和303。在視圖300中,氣體通道(例如穿過頂表面305的氣體通道302和303)係由複數個入口孔表示。入口部301具有大致平行於垂直軸的側表面304。在一實施例中,入口部301具有圓柱形的形狀。入口部301具有窗袋341,以提供與處理腔室(未圖示)之現有蓋體設計的相容性或以其他方式耦接到處理腔室的蓋體。
在一實施例中,入口部301的至少其中一個氣體通道相對於側表面304具有一角度。在一實施例中,在入口部301中的至少其中一個氣體通道平行於側表面304,側表面304平行於垂直Y軸。如第3圖所示,氣體通道302和303平行於垂直Y軸。
出口部306在入口部301下方。出口部306包含複數個氣體出口,例如以不同角度穿過出口部306之凹 形底壁的氣體出口307和氣體出口308,如視圖320所示。在視圖310和320中,氣體出口(例如穿過出口部306之凹形底壁的氣體出口307和308)係以複數個出口孔表示。在一實施例中,至少其中一個穿過出口部凹形底壁的氣體出口相對於垂直Y軸和水平X軸成非90度角的角度。在一實施例中,至少其中一個穿過出口部凹形底壁的氣體出口大致平行於垂直Y軸。在一實施例中,至少其中一個大致平行於垂直Y軸的氣體出口穿過出口部凹形底壁的中心。如視圖320所示,氣體出口308相對於在向下的方向314上延伸的垂直Y軸成銳角321。氣體出口308相對於在向左的方向315上延伸的水平X軸成銳角331。
在一實施例中,與穿過頂表面305的氣體通道相關聯的入口孔之數量少於與穿過凹形出口部306的出口相關聯的孔之數量。在更具體的實施例中,入口孔的數量為約24個,並且出口孔的數量為約84個。在一實施例中,入口孔直徑大於出口孔直徑。在一實施例中,入口孔直徑為約0.08英吋至約0.14英吋。在更具體的實施例中,入口孔直徑為約0.12英吋。在一實施例中,出口孔直徑為約0.03英吋至約0.07英吋。在更具體的實施例中,出口孔直徑為約0.05英吋。
第4圖圖示依據本發明的一個實施例用於均勻電漿處理的噴嘴。視圖400為噴嘴的三維視圖。視圖410為噴嘴的仰視三維圖。視圖420為大致上平行於A-A’軸的噴嘴剖視圖。
如第4圖所示,噴嘴包含兩個部分。第一部分包含入口部401,並且第二部分包含出口部406。如第4圖所示,出口部406具有凸形的形狀,使得空腔424在入口部401和出口部406之間形成。出口部406具有凸半徑413。在一實施例中,凸半徑413為約0.8英吋至約1.8英吋。在更具體的實施例中,凸半徑413為約1.24英吋至約1.25英吋。
入口部401包含大致平行於水平X軸411的頂表面405及複數個氣體通道,例如穿過頂表面405的氣體通道402和403。在視圖400中,氣體通道(例如穿過頂表面405的氣體通道402和403)係由複數個入口孔表示。入口部401具有大致平行於垂直Y軸412的側表面404。
在一實施例中,入口部401具有圓柱形的形狀。入口部401具有窗袋434,以提供與處理腔室(未圖示)之現有蓋體設計的相容性或以其他方式耦接到處理腔室的蓋體。
在一實施例中,入口部401的至少其中一個氣體通道相對於側表面404具有一角度。在一實施例中,在入口部401中的至少其中一個氣體通道平行於側表面404。如第4圖所示,氣體通道402和403平行於側表面404。
出口部406在入口部401下方。出口部406包含複數個氣體出口,例如以不同角度穿過出口部406之凸 形底部的氣體出口407和氣體出口408。在視圖410和420中,氣體出口(例如穿過出口部406之凸形底部的氣體出口407和408)係以複數個出口孔表示。支撐特徵431在入口部401和出口部406之間的空腔424中。至少其中一個氣體出口相對於垂直Y軸和水平X軸以非90度角的角度穿過出口部壁。如視圖420所示,氣體出口408相對於在向下的方向414上延伸的垂直Y軸成銳角421。氣體出口408相對於在向右的方向411上延伸的水平X軸成銳角432。
在一實施例中,與穿過頂表面405的氣體通道相關聯的入口孔之數量少於與穿過出口部406之凸形底部的出口相關聯的孔之數量。在更具體的實施例中,入口孔的數量為約24個,並且出口孔的數量為約110個。在一實施例中,入口孔直徑大於出口孔直徑。在一實施例中,入口孔直徑為約0.08英吋至約0.14英吋。在更具體的實施例中,入口孔直徑為約0.10英吋至約0.12英吋。在一實施例中,出口孔直徑為約0.03英吋至約0.07英吋。在更具體的實施例中,出口孔直徑為約0.05英吋。
在一實施例中,在第4圖所繪示包含入口部401和出口部406的二部分噴嘴設計中,出口噴嘴部分配均勻的淋灑氣體到晶圓上。該二部分噴嘴設計提供了優點,因為該設計允許在朝向晶圓輸出平穩的淋灑狀氣流之前在入口部和出口部之間的空腔內逐漸增加氣體。
在一實施例中,如第3-4圖所示用以增強到晶圓上的淋灑狀氣流之均勻分佈的噴嘴係由介電質材料製成,該介電質材料例如陶瓷或其他用以增強到晶圓上的淋灑狀氣流之均勻分佈的介電質材料。
在一實施例中,第3-4圖所示的二部分噴嘴係經由燒結、研磨、及鑽孔製程或在噴嘴製造的技術領域中具有通常知識者習知的其他技術製造。在一實施例中,關於第3-4圖所描述的噴嘴之氣體通道和出口係經由燒結、研磨、及鑽孔製程或在噴嘴製造的技術領域中具有通常知識者習知的其他技術形成。
第5圖圖示依據本發明的一個實施例用於均勻電漿處理的噴嘴。視圖500為噴嘴的俯視三維圖。視圖510為大致上平行於A-A’軸的噴嘴剖視圖。視圖520為噴嘴的仰視三維圖。如第5圖所示,噴嘴包含兩個部分。內部501被放在外部506內。內部501表示入口部,並且外部506表示出口部。如第5圖所示,外部506的底壁具有凸形的形狀,使得空腔534在該入口部和該出口部之間形成。出口部506的底壁具有凸半徑513。在一實施例中,凸半徑513為約0.8英吋至約1.8英吋。在更具體的實施例中,該凸半徑為約1.24英吋至約1.25英吋。
如視圖510所示,內部501包含上部518和下部519。上部518的直徑比下部519的直徑更大。上部518被放置支撐特徵517,支撐特徵517從外部506的內側壁突出。內部501具有大致上平行於水平X軸511的頂表面 505及複數個穿過頂表面505的氣體通道。在視圖500中氣體通道(例如穿過頂表面505的氣體通道502和503)係由複數個入口孔表示。內部501具有大致上平行於垂直軸512的側表面504。在一實施例中,內部501具有圓柱形的形狀。內部501具有窗袋531,以提供與處理腔室(未圖示)之現有蓋體設計的相容性或以其他方式耦接到處理腔室的蓋體。
如視圖520所示,每個氣體通道502和503皆相對於側表面504成一角度。在一實施例中,內部501中的至少其中一個氣體通道(未圖示)平行於側表面504。外部506包含複數個氣體出口,例如以不同角度穿過外部506之凸形底壁的氣體出口507和氣體出口508。在視圖520中,氣體出口(例如穿過外部506之凸壁的氣體出口507和508)係由複數個出口孔表示。支撐特徵522在內部501的底部和外部506的凸壁之間的空腔534中。至少其中一個氣體出口相對於垂直Y軸和水平X軸以非90度角的角度延伸。如視圖520所示,氣體出口507相對於在向上的方向511上延伸的垂直Y軸成銳角521。氣體出口507相對於在向右的方向511上延伸的水平X軸成銳角524。氣體出口516穿過外部底部的中心,如視圖520所示。
在一實施例中,與穿過頂表面505的氣體通道相關聯的入口孔之數量少於與穿過外部506之凸壁的出口相關聯的孔之數量。在更具體的實施例中,與氣體通道 相關聯的入口孔之數量為約24個,並且出口孔的數量為約114個。在一實施例中,入口孔直徑大於出口孔直徑。在一實施例中,入口孔直徑為約0.08英吋至約0.14英吋。在更具體的實施例中,入口孔直徑為約0.10英吋至約0.12英吋。在一實施例中,出口孔直徑為約0.03英吋至約0.07英吋。在更具體的實施例中,出口孔直徑為約0.05英吋。
在一實施例中,在第5圖所繪示包含內入口部501和外出口部506的二部分噴嘴設計中,外出口噴嘴部分配均勻的淋灑氣體到晶圓上。該二部分噴嘴設計提供了優點,因為該設計允許在朝向晶圓輸出平穩的淋灑狀氣流之前在內入口部和外出口部之間的空腔內逐漸增加氣體。
在一實施例中,如第5圖所繪示用於均勻電漿處理的噴嘴係由介電質材料製成,該介電質材料例如陶瓷或其他用以增強到晶圓上的淋灑狀氣流之均勻分佈的介電質材料。在一實施例中,第5圖所示的二部分噴嘴係經由燒結、研磨、及鑽孔製程或在噴嘴製造的技術領域中具有通常知識者習知的其他技術製造。在一實施例中,關於第5圖所描述的噴嘴之氣體通道和出口係經由燒結、研磨、及鑽孔製程或在噴嘴製造的技術領域中具有通常知識者習知的其他技術形成。
第6圖圖示用以提高電漿處理之均勻度的電漿系統600之一個實施例的方塊圖。如第6圖所示,系統600具有處理腔室601。用以固持工件603的可動台座 602被放在處理腔室601中。台座602包含靜電夾盤(「ESC」)、嵌入ESC的直流(DC)電極、及冷卻/加熱基座。在一實施例中,台座602充當移動陰極。在一實施例中,ESC包含Al2O3材料、Y2O3或具有電子元件製造的普通知識者習知的其他陶瓷材料。直流電源604被連接到台座602的直流電極。
如第6圖所示,工件603被通過開口608載入並被放在台座602上。在一實施例中,工件603被放在大致平行於水平軸111的台座602上。在一實施例中,工件在基板上包含遮罩層,如以下關於第9圖進一步詳細描述的。工件可以在半導體晶圓上包含遮罩,或者工件可以是具有電子元件製造的普通知識者習知的其他工件。在至少一些實施例中,工件包含用以製造積體電路、被動(例如電容器、電感器)及主動(例如電晶體、光電檢測器、雷射器、二極體)微電子元件中任何者的任何材料。
工件可以包括將這種主動和被動微電子元件與被形成在該主動和被動微電子元件之頂部上的一個或多個導電層分離的絕緣(例如介電質)材料。在一個實施例中,工件包含在半導體基板上方的遮罩,該半導體基板包括一個或更多個介電質層,例如二氧化矽、氮化矽、藍寶石、及其他介電質材料。在一個實施例中,工件包含在包括一個或更多個層的晶圓疊層上方的遮罩。工件的一個或更多個層可以包括導體層、半導體層、絕緣層或上述層之任意組合。
系統600包含入口,以通過質量流量控制器611將一種或更多種處理氣體612輸入電漿源613。包含淋灑噴嘴614的電漿源613被耦接到處理腔室601,以接收一種或更多種氣體612並從該等氣體產生電漿615。在一實施例中,淋灑噴嘴614表示以上關於第1-5圖描述的其中一個淋灑噴嘴。淋灑噴嘴614位於工件603上方。
在一實施例中,噴嘴614包含入口部和耦接到該入口部的出口部,如上所述。該入口部具有大致平行於垂直Y軸612的側表面。該一種或更多種氣體通過噴嘴614的入口部之複數個氣體通道被供應到噴嘴614的出口部之複數個出口,以均勻地分佈在工件603上方。在一實施例中,噴嘴614的至少其中一個氣體出口相對於垂直軸成非直角的角度,如上所述。
電漿源613被耦接到RF電源610。電漿源613通過淋灑噴嘴614在處理腔室601中利用高頻電場從一種或更多種處理氣體612產生電漿615。電漿615包含電漿粒子,例如離子、電子、自由基或上述之任意組合。在一實施例中,電源610以13.56MHz的頻率供應從約0W至約7500W的功率,以產生電漿115。電漿偏壓功率605經由射頻匹配607耦接到台座602(例如陰極),以激發電漿。
在一實施例中,電漿偏壓功率605以400KHz的頻率提供不大於3000W的偏壓功率。在一實施例中,也可以提供電漿偏壓功率606,以例如提供頻率從約 2MHz至約60MHz的另一個不大於1000W的偏壓功率。在一實施例中,電漿偏壓功率606和偏壓功率605被連接到射頻匹配607,以提供雙頻偏壓功率。在一實施例中,被施加到台座602的總偏壓功率係從約10W至約3000W。
如第6圖所示,壓力控制系統609提供壓力到處理腔室601。如第6圖所示,腔室601被經由一個或更多個排氣出口616抽空,以抽空腔室中在處理過程中產生的揮發性產物。在一實施例中,電漿系統600為感應耦合電漿(「ICP」)系統。在一實施例中,電漿系統600為電容耦合電漿(「CCP」)系統。
控制系統617耦接到腔室601。控制系統617包含處理器618、耦接到處理器618的溫度控制器619、耦接到處理器618的記憶體620、以及耦接到處理器618的輸入/輸出裝置621。在一實施例中,處理器618具有用以控制通過噴嘴614供應一種或更多種氣體的配置,以產生電漿粒子並將該等電漿粒子均勻地分配於工件603上方。
在一實施例中,處理器618具有控制利用電漿粒子蝕刻工件基板的配置,如以下針對第9圖進一步詳細描述的。在一實施例中,處理器618具有控制利用電漿粒子在基板上沉積一個或更多個層的配置,如以下針對第9圖進一步詳細描述的。
在一實施例中,記憶體620儲存一個或更多個參數,包含壓力、電源、偏壓功率、氣流、或溫度中之一者或更多者,以控制一個或更多個層在基板上的沉積,並控制基板的蝕刻,如以下進一步詳細描述的。控制系統617經配置以執行本文所述的方法,而且可以是軟體或硬體、或軟體和硬體之組合。
電漿系統600可以是所屬技術領域中習知的、任何類型的高性能半導體處理電漿系統,例如但不限於蝕刻機、清潔器、熔爐、或任何其他用以製造電子元件的電漿系統。在一實施例中,系統600可以代表其中一種電漿系統,例如由位於美國加州聖克拉拉的應用材料公司(Applied Materials,Inc.located in Santa Clara,California)製造的Etch Silva Chamber系統或任何其他電漿系統。
第7圖為依據本發明之一個實施例的電漿處理腔室700之立體圖。電漿處理腔室700包含腔室主體701,腔室主體701中放置用以固持工件的ESC。蓋體703被放在腔室主體701上。在一實施例中,蓋體703係由絕緣材料製成,該絕緣材料例如陶瓷或其他絕緣材料。加熱墊704在蓋體703上。夾鉗705被用來將如上所述的淋灑噴嘴(未圖示)夾緊到蓋體703,以將淋灑噴嘴放在腔室主體701中的工件(未圖示)上方。用以提高上述電漿處理之均勻度的淋灑噴嘴可被輕易地組裝並安裝到腔室蓋703上的進料轂和窗袋上。腔室700包含附接到腔室 主體701的排氣出口702,以抽空揮發性產品並保持腔室中的真空。
第8圖圖示依據本發明的一個實施例通過被放在電漿腔室中的晶圓上方的噴嘴的氣流之速度分佈。圖801圖示晶圓上方距離1毫米(mm)處的氣流速度分佈。圖802圖示晶圓上方距離10mm處的氣流速度分佈。圖803圖示晶圓上方距離5mm處的氣流速度分佈。在一實施例中,使用CFD模型技術來分析電漿處理腔室中晶圓上方的氣流速度分佈。在實施例中,從噴嘴供應的氣體包含SF6、C4F8、或上述氣體之任意組合。在一實施例中,SF6氣體的流動速率為約400標準立方公分每分鐘(sccm)。在一實施例中,C4F8氣體的流動速率為約400sccm。
在一實施例中,電漿腔室中的壓力為約140毫托。在一實施例中,從電漿源通過噴嘴供應的氣體溫度為約95℃。在一實施例中,被放在電漿腔室中的ESC之陰極溫度為約-10℃。在一實施例中,蓋體(例如蓋體703)的溫度為約90℃。電漿腔室可以是上述的任一電漿腔室。噴嘴可以是上述的任一噴嘴。在一實施例中,氣體係從第5圖繪示的噴嘴供應。
如圖801、802及803所示,晶圓上方距離10mm、5mm及1mm處的氣流速度被大體保持均勻。如圖801所示,中央部808、中間部809、及邊緣部807上方的速度被保持在約1.6m/s,這表示大體上均勻的氣流 分佈。對於現有的噴嘴設計來說,晶圓上方的氣流速度至少變化6倍,如第10圖所示(曲線1005)。
一般來說,氣體死區係指不參與氣體循環的氣體體積。在一實施例中,通過針對第1-5圖描述的任一噴嘴供應的氣流自由地向下流到晶圓,而且未顯現死區。在一實施例中,通過針對第1-5圖描述的任一噴嘴供應的氣流包含在晶圓上方距離約1mm處的再循環區,以提高氣流的均勻度。
也就是說,與現有的噴嘴設計相比,使用針對第1-5圖描述的淋灑噴嘴設計明顯改良了在晶圓中心上方距離約1mm處的氣體分配之均勻度。
第10圖圖示依據本發明之一個實施例的各種噴嘴設計之氣體速度曲線圖。曲線圖1001圖示對於不同的噴嘴設計在晶圓上方距離約1mm處的速度大小1004對比弦長1003。曲線圖1002表示曲線圖1001的一部分。曲線1005圖示現有噴嘴的氣體速度大小。曲線1006圖示第1圖繪示的噴嘴之氣體速度大小。曲線1007圖示第2圖繪示的階梯噴嘴之氣體速度大小。曲線1008圖示第3圖繪示的凹形噴嘴之氣體速度大小。曲線1009圖示第4圖繪示的凸形噴嘴之氣體速度大小。曲線1011圖示第5圖繪示的凸形噴嘴之氣體速度大小。如第10圖所圖示,與現有的噴嘴設計相比,氣體速度大小的變化被明顯改良了。如第10圖所圖示,氣體速度大小的變化從現有 設計(曲線1005)的約6降低到第5圖描繪的設計(曲線1011)的約1.5。
在一實施例中,使用如以上針對第1-5圖描述的淋灑噴嘴來為波希製程、背側通孔顯露蝕刻、或其他沉積和蝕刻操作在晶圓上方提供均勻的電漿分佈,如以下進一步詳細描述的。
第9圖圖示依據一個實施例的電子元件結構900之側視圖。電子元件結構900包含基板。在一實施例中,電子元件結構900表示第6圖繪示的工件603。在一實施例中,基板901包括半導體材料,例如單晶矽(「Si」)、鍺(「Ge」)、矽鍺(「SiGe」)、以III-V族材料為基礎的材料例如砷化鎵(「GaAs」)、或上述材料之任意組合。在一個實施例中,基板901包括用於積體電路的金屬化互連層。在一個實施例中,基板901包括電子元件,例如電晶體、記憶體、電容器、電阻器、光電元件、開關、及由電絕緣層分隔的任何其他主動和被動電子元件,該電絕緣層例如層間介電質、溝槽絕緣層、或電子元件製造技術領域中具有通常知識者習知的任何其他絕緣層。在至少一些實施例中,基板901包括經配置以連接金屬化層的互連件,例如通孔。在一個實施例中,基板901為包括塊體下基板、中間絕緣層、及頂部單晶層的絕緣體上半導體(SOI)基板。該頂部單晶層可以包含上列的任一材料,例如矽。
元件層902被沉積在基板901上。在一實施例中,元件層902包含適合將相鄰元件絕緣並防止洩漏的絕緣層。在一個實施例中,元件層902包含氧化物層,例如氧化矽、氧化鋁(「Al2O3」)、氧化氮化矽(「SiON」)、氮化矽層、上述氧化物層之任意組合、或由電子元件設計決定的其他電絕緣層。在一個實施例中,元件層902包含層間介電質(ILD),例如二氧化矽。在一個實施例中,元件層902包括聚醯亞胺、環氧樹脂、光可界定材料例如苯環丁烯(BCB)、及WPR系列材料、或旋塗玻璃。
在一實施例中,元件層902包含導電層。在一實施例中,元件層902包含金屬,例如銅(Cu)、鋁(Al)、銦(In)、錫(Sn)、鉛(Pb)、銀(Ag)、銻(Sb)、鉍(Bi)、鋅(Zn)、鎘(Cd)、金(Au)、釕(Ru)、鎳(Ni)、鈷(Co)、鉻(Cr)、鐵(Fe)、錳(Mn)、鈦(Ti)、鉿(Hf)、鉭(Ta)、鎢(W)、釩(V)、鉬(Mo)、鈀(Pd)、金(Au)、鉑(Pt)、多晶矽、電子元件製造的技術領域中具有通常知識者習知的其他導電層、或上述金屬與導電層之任意組合。在一實施例中,元件層902為上述一個或更多個層的堆疊。
元件層902可以使用其中一種沉積技術沉積,該沉積技術例如但不限於化學氣相沉積(「CVD」),例如電漿增強化學氣相沉積(「PECVD」)、物理氣相沉積(「PVD」)、分子束磊晶(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、 或電子元件製造的技術領域中具有通常知識者習知的其他沉積技術。
在一實施例中,元件層902的厚度為約2奈米(「nm」)至約5微米(「μm」)。圖案化遮罩903被沉積在元件層902上。在一實施例中,遮罩903為硬遮罩,例如氧化物遮罩、阻劑遮罩、非晶碳層(ACL)遮罩、或任何其他遮罩,以蝕刻下方的元件層902。在一實施例中,遮罩903為由位於美國加州聖克拉拉的應用材料公司製造的摻雜硼的非晶碳層(BACL)、或其他的BACL。在一實施例中,遮罩層903的厚度為約2nm至約5μm。圖案化遮罩903可以使用電子元件製造的技術領域中具有通常知識者習知的沉積和圖案化技術形成。
如第9A圖所示,氣體被通過噴嘴905供應到處理腔室,以產生電漿粒子906,用以蝕刻被遮罩903暴露出的一部分元件層902,以形成開口904。電漿粒子906包含離子、電子、自由基、或上述之任意組合。在一實施例中,噴嘴905表示以上針對第1-5圖描述的其中一個噴嘴。電漿腔室可以是上述的其中一個電漿腔室、或其他電漿腔室。在一實施例中,用以產生電漿粒子906的氣體包含SF6、CF4、O2、或上述氣體之任意組合。在一實施例中,被蝕刻出的開口904之深度為約10nm至約300nm。
第9B圖為類似於第9A圖的視圖910,該圖圖示出依據一個實施例在開口904中沉積鈍化層908。鈍化 層908係使用電漿粒子907沉積。電漿粒子907包含離子、電子、自由基、或上述之任意組合。氣體被通過噴嘴905供應,以產生電漿粒子907。鈍化層908是藉由將電漿粒子907化學鍵結於開口904的側壁和底部911所沉積的。鈍化層908保護開口904基板的側壁免於被進一步蝕刻。在一實施例中,用以產生電漿粒子907的氣體包含(CFx)n、SiFx、C4F8、C4F6、CHF3、SiF4、上述氣體之任意組合。在一實施例中,鈍化層908為氟碳((CFx)n)層。在一實施例中,鈍化層908的厚度係從約1nm至約100nm。
第9C圖為類似於第9B圖的視圖920,該圖圖示出依據本發明的一個實施例蝕刻一部分的元件層以蝕穿開口904底部上的鈍化層。在蝕刻操作的過程中,轟擊元件層的定向電漿粒子(例如離子、電子、自由基、或上述之任意組合)攻擊在開口904之底部911的鈍化層,同時保留開口904之側壁上的鈍化層908。電漿粒子909碰撞鈍化層908而暴露出開口的底部部分912。在一實施例中,暴露的部分912被向下蝕刻到約10nm至約300nm的深度。
第9D圖為依據本發明的一個實施例重複第9B圖和第9C圖描繪的蝕刻和沉積操作多次之後的視圖930。重複第9B圖和第9C圖描繪的蝕刻和沉積操作,以向下逐步蝕刻該開口的底部到達基板901,同時保持該開 口的側壁而形成開口913。在一實施例中,開口913的深度為約少數埃(Å)至約數百微米。
在前述的說明書中,參照本發明的具體例示性實施例描述了本發明的實施例。明顯的是,可以在不偏離以下申請專利範圍中闡述的本發明實施例之較寬廣精神和範圍下進行各種修改。因此,應將本說明書和圖式視為說明性的意義而非限制性的意義。
100‧‧‧視圖
101‧‧‧入口部
102‧‧‧氣體通道
103‧‧‧氣體通道
104‧‧‧側表面
105‧‧‧頂表面
106‧‧‧出口部
107‧‧‧氣體出口
108‧‧‧氣體出口
110‧‧‧視圖
111‧‧‧向右的方向
112‧‧‧垂直Y軸
114‧‧‧向下的方向
115‧‧‧向左的方向
120‧‧‧視圖
121‧‧‧銳角
122‧‧‧銳角
123‧‧‧角度
124‧‧‧側表面
125‧‧‧角度
126‧‧‧氣體出口
127‧‧‧鈍角
128‧‧‧鈍角

Claims (20)

  1. 一種用於均勻電漿處理的噴嘴,包含:一包含複數個氣體通道的入口部,該入口部具有一側表面,該側表面大致平行於一垂直軸;及一被耦接到該入口部的出口部,其中該出口部包含複數個出口,其中至少其中一個出口相對於該垂直軸成一非直角的角度。
  2. 如請求項1所述之噴嘴,其中至少其中一個氣體通道相對於該垂直軸以一角度延伸。
  3. 如請求項1所述之噴嘴,進一步包含一介於該入口部與該出口部之間的空腔。
  4. 如請求項1所述之噴嘴,其中該出口部具有一凸形的形狀及一凹形的形狀中之一者。
  5. 如請求項1所述之噴嘴,其中該等出口的數量多於該等氣體通道的數量。
  6. 如請求項1所述之噴嘴,其中該出口部包含至少一個步階。
  7. 如請求項1所述之噴嘴,其中該出口部包含一側表面,該側表面相對於該垂直軸以一角度延伸。
  8. 一種電漿處理系統,包含:一處理腔室,包含一台座,用以固持一工件,該工件包含一基板; 一電漿源;一被耦接至該電漿源的噴嘴,用以接收氣體,以在該處理腔室中產生電漿,其中該噴嘴包含一入口部及一出口部,該入口部包含複數個氣體通道,該入口部包含一側表面,該側表面大致平行於一垂直軸,該出口部被耦接到該入口部,該出口部包含複數個出口,其中至少其中一個該出口相對於該垂直軸成一非直角的角度。
  9. 如請求項8所述之系統,其中至少其中一個氣體通道相對於該垂直軸以一角度延伸。
  10. 如請求項8所述之系統,其中該噴嘴進一步包含一介於該入口部與該出口部之間的空腔。
  11. 如請求項8所述之系統,其中該出口部具有一凸形的形狀及一凹形的形狀中之一者。
  12. 如請求項8所述之系統,其中該等出口的數量多於該等氣體通道的數量。
  13. 如請求項8所述之系統,其中該出口部包含至少一個步階。
  14. 如請求項8所述之系統,其中該出口部包含一側表面,該側表面以一角度相對於該垂直軸延伸。
  15. 一種製造用於均勻電漿處理的一噴嘴之方 法,包含以下步驟:形成一入口部,該入口部包含複數個氣體通道,該入口部具有一側表面,該側表面大致平行於一垂直軸;及形成一被耦接到該入口部的出口部,其中該出口部包含複數個出口,其中至少其中一個出口相對於該垂直軸成一非直角的角度。
  16. 如請求項15所述之方法,其中至少其中一個氣體通道相對於該垂直軸成一角度。
  17. 如請求項15所述之方法,進一步包含以下步驟在該入口部與該出口部之間形成一空腔。
  18. 如請求項15所述之方法,其中該出口部具有一凸形的形狀及一凹形的形狀中之一者。
  19. 如請求項15所述之方法,其中該等出口的數量多於該等氣體通道的數量。
  20. 如請求項15所述之方法,其中該出口部包含至少一個步階。
TW104125127A 2014-08-15 2015-08-03 用於均勻電漿處理的噴嘴 TWI674040B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/461,318 US10465288B2 (en) 2014-08-15 2014-08-15 Nozzle for uniform plasma processing
US14/461,318 2014-08-15

Publications (2)

Publication Number Publication Date
TW201616923A true TW201616923A (zh) 2016-05-01
TWI674040B TWI674040B (zh) 2019-10-01

Family

ID=55301722

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108117969A TWI687134B (zh) 2014-08-15 2015-08-03 用於均勻電漿處理的噴嘴
TW104125127A TWI674040B (zh) 2014-08-15 2015-08-03 用於均勻電漿處理的噴嘴

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW108117969A TWI687134B (zh) 2014-08-15 2015-08-03 用於均勻電漿處理的噴嘴

Country Status (6)

Country Link
US (2) US10465288B2 (zh)
JP (2) JP6602370B2 (zh)
KR (1) KR20170042727A (zh)
CN (2) CN106575597B (zh)
TW (2) TWI687134B (zh)
WO (1) WO2016025187A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR200478069Y1 (ko) * 2009-09-10 2015-08-24 램 리써치 코포레이션 플라즈마 처리 장치의 교체가능한 상부 체임버 부품
KR101817212B1 (ko) * 2016-04-29 2018-02-21 세메스 주식회사 처리액 분사 유닛 및 기판 처리 장치
KR102553629B1 (ko) 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
TWI618111B (zh) * 2017-02-10 2018-03-11 台灣美日先進光罩股份有限公司 電漿蝕刻腔體的氣體側噴嘴與電漿反應裝置
KR101884852B1 (ko) * 2017-11-10 2018-08-02 세메스 주식회사 처리액 분사 유닛 및 기판 처리 장치
US10876208B2 (en) * 2018-01-16 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for fabricating a semiconductor device
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
KR102034729B1 (ko) * 2018-05-04 2019-10-21 (주)뉴젠텍 플라즈마 발생 및 유도를 위한 플라즈마 블록
KR101981559B1 (ko) * 2018-07-11 2019-05-23 세메스 주식회사 기판 처리 장치
WO2020023409A1 (en) * 2018-07-24 2020-01-30 Applied Materials, Inc. Optically transparent pedestal for fluidly supporting a substrate
WO2020110964A1 (ja) * 2018-11-26 2020-06-04 京セラ株式会社 ガスノズルおよびガスノズルの製造方法ならびにプラズマ処理装置
CN109600898B (zh) * 2018-12-13 2020-04-17 大连理工大学 一种喷淋式电极及放电系统
GB202001781D0 (en) * 2020-02-10 2020-03-25 Spts Technologies Ltd Pe-Cvd apparatus and method
TWI767244B (zh) * 2020-05-29 2022-06-11 朗曦科技股份有限公司 半導體製程腔體之氣體噴頭
JP2021048405A (ja) * 2020-11-30 2021-03-25 ピコサン オーワイPicosun Oy 基板の保護
CN117427792A (zh) * 2022-07-14 2024-01-23 中微半导体设备(上海)股份有限公司 等离子体处理设备、气体喷淋头及其制造方法

Family Cites Families (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US410420A (en) * 1889-09-03 Machine for combing wool
US3592575A (en) * 1969-07-25 1971-07-13 Forney International Burner nozzle tip
US3745969A (en) * 1971-04-19 1973-07-17 Motorola Inc Offset top ejection vapor deposition apparatus
US3881863A (en) * 1973-07-09 1975-05-06 Aero Flow Dynamics Inc The Win Dual fuel burner
US3995811A (en) * 1975-05-22 1976-12-07 Eutectic Corporation Nozzle for depositing metal powder by spraying
DE2608417C3 (de) * 1976-03-01 1981-02-12 Degussa Ag, 6000 Frankfurt Verfahren und vorrichtung zur herstellung von russ
US4080927A (en) * 1976-10-06 1978-03-28 General Atomic Company Fluidized bed-gas coater apparatus
US4069974A (en) * 1976-12-29 1978-01-24 Ford Motor Company Electrostatic powder coating apparatus
US4293755A (en) * 1978-10-23 1981-10-06 General Instrument Corporation Method of cooling induction-heated vapor deposition apparatus and cooling apparatus therefor
US4313721A (en) * 1979-03-15 1982-02-02 Joseph Henriques Oil burner diffuser
FR2504033A1 (fr) * 1981-04-17 1982-10-22 Sames Sa Procede de peinture electrostatique de petites pieces allongees, portees par un transporteur en omega, avec un projecteur a bol tournant sur l'axe de la boucle d'omega
US4389229A (en) * 1981-10-01 1983-06-21 Western Electric Co., Inc. Methods and apparatus for fabricating a lightguide preform
US4730775A (en) * 1986-01-10 1988-03-15 Afa Division Of Waynesboro Textiles, Inc. Two piece foamer nozzle assembly
JPH0280303A (ja) * 1987-06-04 1990-03-20 Tonen Corp 超伝導体薄膜の形成方法及びその為の装置
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5134965A (en) * 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
FR2653633B1 (fr) * 1989-10-19 1991-12-20 Commissariat Energie Atomique Dispositif de traitement chimique assiste par un plasma de diffusion.
JPH086186B2 (ja) * 1990-02-09 1996-01-24 三菱電機株式会社 基板の処理装置およびその処理方法
US5232164A (en) * 1990-05-09 1993-08-03 Resch D R Precisely adjustable atomizer
DE4106563C2 (de) * 1991-03-01 1999-06-02 Bosch Gmbh Robert Vorrichtung zur elektrostatischen Zerstäubung von Flüssigkeiten
DE4106770C2 (de) * 1991-03-04 1996-10-17 Leybold Ag Verrichtung zum reaktiven Beschichten eines Substrats
JP3375646B2 (ja) * 1991-05-31 2003-02-10 株式会社日立製作所 プラズマ処理装置
BR9204887A (pt) * 1991-12-23 1993-06-29 Comision Nac Energ Atom Processo para formar sobre um substrato solido uma pelicula de propriedade similares as do diamante,os corpos solidos assim revestidos e a pelicula revestida assim obtida
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5556521A (en) * 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
EP0780615B1 (en) * 1995-12-21 1999-08-18 Benkan Corporation Vacuum exhaust valve
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
WO1998000576A1 (en) * 1996-06-28 1998-01-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
US6106663A (en) * 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
KR100302609B1 (ko) * 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
JP4889144B2 (ja) * 2000-10-31 2012-03-07 アプライド マテリアルズ インコーポレイテッド 成膜方法
KR100436941B1 (ko) * 2000-11-07 2004-06-23 주성엔지니어링(주) 박막 증착 장치 및 그 방법
KR100413145B1 (ko) * 2001-01-11 2003-12-31 삼성전자주식회사 가스 인젝터 및 이를 갖는 식각 장치
US20020129768A1 (en) * 2001-03-15 2002-09-19 Carpenter Craig M. Chemical vapor deposition apparatuses and deposition methods
JP2002299240A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
US20020179247A1 (en) * 2001-06-04 2002-12-05 Davis Matthew F. Nozzle for introduction of reactive species in remote plasma cleaning applications
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US20030141178A1 (en) * 2002-01-30 2003-07-31 Applied Materials, Inc. Energizing gas for substrate processing with shockwaves
US20050092245A1 (en) * 2003-11-03 2005-05-05 Ahn-Sik Moon Plasma chemical vapor deposition apparatus having an improved nozzle configuration
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
TWI287279B (en) * 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
KR100854995B1 (ko) 2005-03-02 2008-08-28 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치
US8298336B2 (en) 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
CN1850348A (zh) 2005-12-05 2006-10-25 北京北方微电子基地设备工艺研究中心有限责任公司 提高气流分布均匀性的气体喷嘴
JP4833778B2 (ja) 2006-02-13 2011-12-07 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US20070187363A1 (en) * 2006-02-13 2007-08-16 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP2007220504A (ja) 2006-02-17 2007-08-30 Noritsu Koki Co Ltd プラズマ発生ノズルおよびプラズマ発生装置ならびにそれを用いるワーク処理装置
JP5604622B2 (ja) * 2006-06-13 2014-10-08 北陸成型工業株式会社 シャワープレートの製造方法
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
JP5233734B2 (ja) * 2008-02-20 2013-07-10 東京エレクトロン株式会社 ガス供給装置、成膜装置及び成膜方法
US8110068B2 (en) * 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
US9591738B2 (en) * 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
CN102945783A (zh) 2009-06-25 2013-02-27 北京北方微电子基地设备工艺研究中心有限责任公司 气体输入装置和等离子体加工设备
US20110121108A1 (en) 2009-11-24 2011-05-26 Stephan Rodewald Plasma polymerization nozzle
US8721747B2 (en) 2010-08-11 2014-05-13 General Electric Company Modular tip injection devices and method of assembling same
US9068265B2 (en) 2011-02-01 2015-06-30 Applied Materials, Inc. Gas distribution plate with discrete protective elements
US9082593B2 (en) 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
JP5865916B2 (ja) 2011-10-31 2016-02-17 京セラ株式会社 ガスノズル、これを用いたプラズマ装置およびガスノズルの製造方法
US9941100B2 (en) 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
KR101411993B1 (ko) * 2012-09-25 2014-06-26 (주)젠 안테나 어셈블리 및 이를 구비한 플라즈마 처리 챔버
JP6123208B2 (ja) * 2012-09-28 2017-05-10 東京エレクトロン株式会社 成膜装置

Also Published As

Publication number Publication date
US20200017972A1 (en) 2020-01-16
TW201941664A (zh) 2019-10-16
WO2016025187A1 (en) 2016-02-18
US20160047040A1 (en) 2016-02-18
CN106575597A (zh) 2017-04-19
CN106575597B (zh) 2019-01-15
US11053590B2 (en) 2021-07-06
TWI674040B (zh) 2019-10-01
US10465288B2 (en) 2019-11-05
JP6602370B2 (ja) 2019-11-06
JP2017527116A (ja) 2017-09-14
CN109637922A (zh) 2019-04-16
JP2020043079A (ja) 2020-03-19
KR20170042727A (ko) 2017-04-19
TWI687134B (zh) 2020-03-01

Similar Documents

Publication Publication Date Title
TWI687134B (zh) 用於均勻電漿處理的噴嘴
TWI610338B (zh) 提供多循環間隔物以製造電子裝置之方法與系統
US10692966B2 (en) Deep trench capacitor with scallop profile
TWI630654B (zh) 使用遠端電漿源以凹陷超低k介電質
TWI796358B (zh) 選擇性蝕刻的自對準通孔製程
JP6793711B2 (ja) 選択的にエッチングされた自己整合ビアプロセス
US9418867B2 (en) Mask passivation using plasma
KR20170133372A (ko) 패터닝을 위한 마스크 에칭
US11139174B2 (en) Method for forming features of semiconductor structure having reduced end-to-end spacing
US11031279B2 (en) Semiconductor device with reduced trench loading effect
US10276369B2 (en) Material deposition for high aspect ratio structures
CN111710643A (zh) 完全自对准通孔过程中使用多种材料的半导体后段互连
US20230094212A1 (en) Plasma etch process for fabricating high aspect ratio (har) features
KR20220022461A (ko) 구조들을 에칭하고 측벽들을 평활화하기 위한 방법들
TW202137280A (zh) 用於可控制膜沉積之雙射頻