TW201615804A - 蝕刻劑組成物及使用其製造半導體裝置的方法 - Google Patents

蝕刻劑組成物及使用其製造半導體裝置的方法 Download PDF

Info

Publication number
TW201615804A
TW201615804A TW104121967A TW104121967A TW201615804A TW 201615804 A TW201615804 A TW 201615804A TW 104121967 A TW104121967 A TW 104121967A TW 104121967 A TW104121967 A TW 104121967A TW 201615804 A TW201615804 A TW 201615804A
Authority
TW
Taiwan
Prior art keywords
etchant composition
layer
nitride layer
compound
semiconductor device
Prior art date
Application number
TW104121967A
Other languages
English (en)
Inventor
吉埈仍
房哲源
金學默
張湧守
沈金噽
Original Assignee
拉姆科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 拉姆科技有限公司 filed Critical 拉姆科技有限公司
Publication of TW201615804A publication Critical patent/TW201615804A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Weting (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Non-Volatile Memory (AREA)

Abstract

本發明涉及一種用於氮化物層的蝕刻劑組成物及使用其製造半導體裝置的方法。以所述蝕刻劑組成物的總重量計,所述蝕刻劑組成物包含:約80重量%至約90重量%的量的磷酸、約0.02重量%至約0.1重量%的量的矽-氟化合物、以及其餘爲水。所述矽-氟化合物包含矽原子與氟原子之間的鍵結(Si-F鍵結)。可利用所述蝕刻劑組成物來實現相對於氧化物層的氮化物層的例如大於約200的高蝕刻選擇性。

Description

蝕刻劑組成物及使用其製造半導體裝置的方法
本申請案主張在2014年10月30日在韓國智慧財產局提出申請的韓國專利申請第10-2014-0148922號的優先權,所述韓國專利申請的內容全文併入本案供參考。
本發明的實例性實施例涉及用於氮化物層的蝕刻劑組成物及使用所述蝕刻劑組成物製造半導體裝置的方法。更具體而言,本發明的實例性實施例涉及用於氮化物層的包含酸溶液的蝕刻劑組成物以及使用所述蝕刻劑組成物製造半導體裝置的方法。
在半導體裝置的製作中,可堆疊各種絕緣層,例如氧化矽層及氮化矽層。可根據半導體裝置中所包含的圖案結構而選擇性地蝕刻氮化矽層。
舉例而言,韓國專利公開第10-2005-0003163號揭示了一種用於氮化物層的蝕刻劑溶液,所述蝕刻劑溶液包含磷酸及氟酸。然而,其它絕緣層(例如,氧化矽層)也可能會受到所述氟酸蝕刻,且因此可能無法實現氮化物層相對於氧化物層的足夠的蝕刻選擇性。
韓國專利公開第10-2011-0037741號揭示一種用於蝕刻氮化物層的組成物,所述組成物包含肟基矽烷(oxime silane)。然而,所述組成物可能對例如去離子水等溶劑具有差的溶解性,且因此可在半導體基板或氧化矽層上造成殘留物吸附。
本發明的實例性實施例提供一種用於氮化物層的蝕刻劑組成物,所述蝕刻劑組成物具有提高的蝕刻選擇性。
本發明的實例性實施例提供一種使用所述蝕刻劑組成物製造半導體裝置的方法。
根據實例性實施例,提供一種用於氮化物層的蝕刻劑組成物。以所述蝕刻劑組成物的總重量計,所述蝕刻劑組成物包含:約80重量%至約90重量%的量的磷酸、約0.02重量%至約0.1重量%的量的矽-氟化合物、以及其餘爲水。所述矽-氟化合物包含矽原子與氟原子之間的鍵結(Si-F鍵結)。
在實例性實施例中,以所述蝕刻劑組成物的總重量計,所述蝕刻劑組成物可以約0.03重量%至約0.07重量%的量包含所述矽-氟化合物。
在實例性實施例中,所述矽-氟化合物可包括六氟矽酸銨、氟矽酸銨、氟矽酸鈉、四氟化矽、或六氟矽酸。可單獨使用或組合使用這些化合物。
在實例性實施例中,在所述蝕刻劑組成物中可不含有不包含所述Si-F鍵結的矽化合物及氟化合物。
在實例性實施例中,所述矽化合物可包括肟基矽烷、甲矽烷基硫酸鹽或四乙氧基矽烷(tetra ethyl ortho silicate,TEOS)。所述氟化合物可包括氟酸(HF)或氟化銨(ammonium fluoride)。
在實例性實施例中,所述蝕刻劑組成物還可包含蝕刻增強劑(etching enhancer)。
在實例性實施例中,所述蝕刻增強劑可包含硫酸系化合物、或除氟化銨外的酸銨系化合物(acid ammonium-based compound)。
在實例性實施例中,相對於氧化物層,所述蝕刻劑組成物對於氮化物層的蝕刻選擇性可超過約200。
在實例性實施例中,相對於所述氧化物層,所述蝕刻劑組成物對於所述氮化物層的蝕刻選擇性可處於約250至約300的範圍內。
根據實例性實施例,提供一種製造半導體裝置的方法。在所述方法中,在基板上交替地並重複地形成絕緣夾層及犧牲層。形成穿過所述絕緣夾層及所述犧牲層的多個通道。局部地移除所述絕緣夾層及所述犧牲層,以在所述多個通道中的相鄰通道之間形成開口。利用用於氮化物層的蝕刻劑組成物移除由所述開口暴露出的所述犧牲層,所述蝕刻劑組成物包含磷酸、矽-氟化合物且其餘爲水。所述矽-氟化合物包含矽原子與氟原子之間的鍵結(Si-F鍵結)。在所述犧牲層被移除的每一空間中形成閘極線。
在實例性實施例中,以所述蝕刻劑組成物的總重量計,所述蝕刻劑組成物可包含約80重量%至約90重量%的量的磷酸、約0.02重量%至約0.1重量%的量的所述矽-氟化合物,且其餘爲水。
在實例性實施例中,以所述蝕刻劑組成物的總重量計,所述蝕刻劑組成物可以約0.03重量%至約0.07重量%的量包含所述矽-氟化合物。
在實例性實施例中,所述絕緣夾層可包含氧化矽,且所述犧牲層可包含氮化矽。
在實例性實施例中,所述犧牲層相對於所述絕緣夾層的蝕刻選擇性可處於約200至約300的範圍內。
在實例性實施例中,所述矽-氟化合物可包括六氟矽酸銨、氟矽酸銨、氟矽酸鈉、四氟化矽、或六氟矽酸。可單獨使用或組合使用這些化合物。
在實例性實施例中,所述犧牲層可在約140℃至約170℃範圍內的溫度下移除。
在實例性實施例中,所述開口可暴露出所述基板的頂面。
在實例性實施例中,可在經由所述開口暴露出的所述基板的上部形成雜質區。可在所述雜質區上形成填充層圖案,以填充所述開口。
在實例性實施例中,可形成可環繞所述通道的外側壁的介電層結構。
在實例性實施例中,在所述用於氮化物層的蝕刻劑組成物中可不包含矽烷化合物、氟酸及氟化銨。
以下將參照其中顯示某些實例性實施例的附圖來更充分地描述各種實例性實施例。然而,本發明概念可實施爲諸多不同形式,而不應被視爲僅限於本文所述的實例性實施例。更確切而言,提供這些實例性實施例是爲了使本說明透徹及完整並將向所屬領域的技術人員充分傳達本發明概念的範圍。在圖式中,爲清晰起見,可誇大層及區域的尺寸及相對尺寸。
應理解,當闡述一元件或層位於另一元件或層“上”、“連接至”或“耦合至”另一元件或層時,所述元件或層可直接位於所述另一元件或層上、直接連接至或耦合至所述另一元件或層,或者可存在中間元件或層。相比之下,當闡述一元件“直接”位於另一元件或層“上”、“直接連接至”或“直接耦合至”另一元件或層時,則不存在中間元件或層。通篇中相似編號指代相似元件。本文所用用語“及/或”包括相關列出項中的一個或多個項的任意及所有組合。
應理解,儘管本文可能使用用語“第一”、“第二”、“第三”、“第四”等來描述各種元件、部件、區、層及/或區段,然而這些元件、部件、區、層及/或區段不應受限於這些用語。這些用語僅用於區分各個元件、部件、區、層或區段。因此,在不背離本發明概念的教示內容條件下,下文所述的第一元件、部件、區、層或區段也可被稱爲第二元件、部件、區、層或區段。
在本文中,爲易於說明,可使用空間相對關係用語,例如“在…之下(beneath)”、“在…下面(below)”、“下方的(lower)”、“在…之上(above)”、“上方的(upper)”等來描述圖中所例示的一個元件或特徵與另一個(其它)元件或特徵的關係。應理解,所述空間相對關係用語旨在除圖中所示取向以外也包括裝置在使用或操作過程中的各種不同取向。舉例而言,如果圖中的裝置被翻轉,則被描述爲在其它元件或特徵“下面”或“之下”的元件此時將被取向爲在其它元件或特徵“之上”。因此,實例性用語“在…下面”可既包括上方取向也包括下方取向。所述裝置也可具有其它取向(例如,旋轉90度或其它取向),且本文中所用的空間相對性描述語將相應地進行解釋。
本文所用術語僅用於描述特定實例性實施例,而並非旨在限制本發明概念。除非上下文中清楚地另外指明,否則本文所用單數形式“一(a、an)”及“所述(the)”旨在也包括複數形式。還應理解,當在本說明書中使用用語“包括(comprises)”及/或“包括(comprising)”時,是用於指明所述特徵、整數、步驟、操作、元件、及/或部件的存在,但不排除一個或多個其它特徵、整數、步驟、操作、元件、部件及/或其族群的存在或添加。
本文中參照剖視圖來描述實例性實施例,所述剖視圖是理想化實例性實施例(及中間結構)的示意圖。因此,預期會因例如製造技術及/或公差而偏離圖示形狀。因此,各實例性實施例不應被視爲僅限於本文所示各個區的特定形狀,而是包括由例如製造而引起的形狀偏差。舉例而言,被例示爲矩形的植入區(implanted region)通常具有圓的或彎曲的特徵及/或在其邊緣具有植入濃度梯度,而非從被植入區至未被植入區爲二元變化。同樣地,藉由植入而形成的埋入區(buried region)可在所述埋入區與進行植入時所經過的表面之間的區中形成一定程度的植入。因此,圖中所示各個區是示意性的,且其形狀並非旨在例示裝置的區的實際形狀,也並非旨在限制本發明概念的範圍。
除非另外定義,否則本文所用的所有用語(包括技術及科學用語)的意義均與本發明概念所屬技術領域中的普通技術人員所通常理解的意義相同。還應理解,用語(例如在常用字典中所定義的用語)應被解釋爲具有與其在相關技術背景中的意義一致的意義,且不應將其解釋爲具有理想化或過於正式的意義,除非本文中明確地定義爲如此。
用於 氮化物 層的蝕刻劑組成物
根據實例性實施例的用於氮化物層的蝕刻劑組成物(以下,簡稱爲蝕刻劑組成物)可包含磷酸、矽-氟化合物且其餘爲水。在某些實施例中,所述蝕刻劑組成物還可包含添加劑,例如蝕刻增強劑。
所述蝕刻劑組成物可提供於包含氧化物層及氮化物層的結構上,且所述氮化物層可由所述蝕刻劑組成物以高的蝕刻選擇性進行蝕刻,而實質上不會損壞氧化物層。
舉例而言,所述蝕刻劑組成物可在半導體裝置的製造過程中用於選擇性地蝕刻氮化矽層。
磷酸可由化學式H3 PO4 表示,且可用作用於蝕刻氮化物層的主要成分。在實例性實施例中,以所述蝕刻劑組成物的總重量計,所述蝕刻劑組成物可以約80重量%至約90重量%的量包含磷酸。
如果磷酸的量小於約80重量%,則可降低總體蝕刻速率。如果磷酸的量超過約90重量%,則也可提高對氧化物層或導電層(例如,金屬層)的蝕刻速率,且因此可使得對於氮化物層的蝕刻選擇性劣化。
矽(Si)-氟(F)化合物可包括在分子中包含Si-F鍵結的化合物,且可因氟原子結合至矽原子而對所述組成物或磷酸溶液具有提高的溶解性。此外,氟原子也可提高所述組成物的蝕刻速率。在實例性實施例中,結合至氟原子的矽原子可用作可阻止或緩衝氟原子對氧化物層的蝕刻速率增大的組分。
因此,可藉由包含矽-氟化合物來提高對氮化物層的蝕刻速率、同時抑制對氧化物層的蝕刻速率。因此,當利用所述蝕刻劑組成物來執行濕式蝕刻製程時,氮化物層相對於氧化物層的蝕刻選擇性可大大增強。
在實例性實施例中,以所述蝕刻劑組成物的總重量計,所述蝕刻劑組成物可以約0.02重量%至約0.1重量%的量包含矽-氟化合物。在此種情形中,相對於氧化物層,所述蝕刻劑組成物對於氮化物層的蝕刻選擇性可大於約200。
在某些實施例中,以所述蝕刻劑組成物的總重量計,所述蝕刻劑組成物可以約0.03重量%至約0.07重量%的量包含矽-氟化合物。在此種情形中,相對於氧化物層,所述蝕刻劑組成物對於氮化物層的蝕刻選擇性可大於約250。
如上所述,藉由添加矽-氟化合物,所述蝕刻劑組成物對於氮化物層的蝕刻選擇性可超過約200或約250。舉例而言,所述蝕刻劑組成物的蝕刻選擇性可處於約200至約300的範圍內。在實施例中,所述蝕刻劑組成物的蝕刻選擇性可處於約250至約300的範圍內。
在實例性實施例中,矽-氟化合物可包括六氟矽酸銨、氟矽酸銨(ammonium fluorosilicate)、氟矽酸鈉(sodium fluorosilicate)、四氟化矽(silicon tetrafluoride)或六氟矽酸(hexafluorosilicic acid)。這些化合物可單獨使用或組合使用。
所述蝕刻劑組成物中所包含的其餘的水可包括例如蒸餾水或去離子水(deionized water,DIW)。
在某些實施例中,所述蝕刻劑組成物還可包含添加劑,例如蝕刻增強劑。所述蝕刻增強劑可例如包含硫酸系化合物或酸銨系化合物。硫酸系化合物或酸銨系化合物中可不含有矽組分及氟組分。
硫酸系化合物的實例可包括硫酸或甲磺酸。酸銨系化合物的實例可包括硫酸銨、過硫酸銨、醋酸銨或磷酸銨。這些化合物可單獨使用或組合使用。
可添加少量的蝕刻增強劑,使得蝕刻劑組成物的總體蝕刻速率可提高,然而,對於氮化物層的蝕刻選擇性可並不降低。
在實例性實施例中,所述蝕刻劑組成物可不包含矽化合物及/或氟化合物。所述矽化合物及氟化合物可分別表示包含矽組分及氟組分而其中不包含Si-F鍵結的化合物。
矽化合物的實例包括矽烷化合物(例如,肟基矽烷(oxime silane))、甲矽烷基硫酸鹽(silyl sulfate)、四乙氧基矽烷(tetra ethyl ortho silicate;TEOS)等。氟化合物的實例包括氟酸(HF)、氟化銨(ammonium fluoride)等。
如果所述蝕刻劑組成物中包含矽化合物,則在所述蝕刻劑組成物中所述矽化合物的至少一部分可能不會溶解。因此,在蝕刻過程之後,包含例如氧化矽的蝕刻殘留物可能會吸附在例如半導體晶圓等結構上。在此種情形中,在蝕刻製程之後可能進一步需要額外的清洗過程,例如沖洗過程。
如果所述蝕刻劑組成物中包含氟化合物,則可同時提高對各種類型的層的蝕刻速率。因而,也可提高對氧化物層的蝕刻速率,從而導致對於氮化物層的蝕刻選擇性差。
如上所述,所述用於氮化物層的蝕刻劑組成物可包含矽-氟化合物連同磷酸。所述矽-氟化合物可具有提高的溶解性、同時選擇性地提高對氮化物層的蝕刻速率。因此,可提高對於氮化物層的蝕刻選擇性而不會產生蝕刻殘留物。
製造 半導體 裝置的方法
圖1至圖15爲繪示根據實例性實施例的製造半導體裝置的方法的剖視圖及俯視圖。具體而言,圖2及圖9爲繪示製造半導體裝置的方法的俯視圖。圖1、圖3至圖8及圖10至圖15爲沿第一方向沿圖2及圖9所示線I-I’截取的剖視圖。
舉例而言,圖1至圖15繪示製造包括垂直通道的垂直記憶體裝置的方法。
在圖1至圖15中,與基板的頂面實質上垂直的方向被稱爲第一方向,與基板的頂面實質上平行且彼此交叉的兩個方向被稱爲第二方向及第三方向。舉例而言,第二方向與第三方向可彼此垂直。另外,由圖中箭頭所指示的方向及其相反方向被視爲同一方向。
參見圖1,可在基板100上交替地並重複地形成絕緣夾層102(例如,絕緣夾層102a至絕緣夾層102g)及犧牲層104(例如,犧牲層104a至犧牲層104f),以形成模具結構(mold structure)105。
基板100可包含半導體材料,例如單晶矽及/或鍺。在某些實施例中,基板100可用作半導體裝置的p井(p-well)。
在實例性實施例中,絕緣夾層102可使用氧化物(例如,二氧化矽、碳氧化矽(silicon carbooxide,SiOC)及/或氟氧化矽(silicon fluorooxide,SiOF))來形成。犧牲層104可使用如下材料來形成:所述材料可對於絕緣夾層102具有高的蝕刻選擇性且可藉由濕式蝕刻製程輕易地移除。犧牲層104可使用氮化物系材料(例如,氮化矽及/或氮化硼矽(silicon boronitride,SiBN))來形成。
絕緣夾層102及犧牲層104可藉由化學氣相沈積(chemical vapor deposition,CVD)製程、電漿增強化學氣相沈積(plasma enhanced chemical vapor deposition,PECVD)製程、旋塗(spin coating)製程等形成。可藉由熱氧化(thermal oxidation)製程在基板100的頂面上形成最下絕緣夾層102a。
可在隨後的製程中移除犧牲層104,以爲接地選擇線(ground selection line,GSL)、字線(word line)及/或串選擇線(string selection line,SSL)提供空間。因此,絕緣夾層102及犧牲層104的數目可根據GSL、字線及/或SSL的數目進行調整。
舉例而言,GSL及SSL中的每一者均可形成於單個層面(single layer)處,且字線可形成於4個層面處。因此,犧牲層104可形成於6個層面處,且絕緣夾層102可形成於7個層面處。在某些實施例中,GSL及SSL中的每一者可形成於2個層面處,且字線可形成於例如4個、8個或16個層面處。在此種情形中,犧牲層104可形成於8個、12個或20個層面處,且絕緣夾層102可形成於9個、13個或21個層面處。在實施例中,字線可形成爲多於16個層面,例如“2´n(n是大於8的整數)”個層面。然而,在本文中,GSL、SSL及/或字線的數目可不受限制。
參見圖2及圖3,可穿過模具結構105形成通道孔110。
在某些實施例中,可在最上絕緣夾層102g上形成硬罩幕(未示出)。可使用所述硬罩幕作爲蝕刻罩幕來局部地移除絕緣夾層102及犧牲層104,以形成通道孔110。通道孔110可暴露出基板100的頂面,且通道孔110可在第一方向上延伸。通道孔110的側壁可相對於基板100的頂面實質上垂直。然而,由於乾式蝕刻製程的特性,通道孔110的側壁可相對於基板100的頂面呈錐形(tapered)。
所述硬罩幕可使用對於絕緣夾層102及犧牲層104具有蝕刻選擇性的材料形成。舉例而言,所述硬罩幕可使用光阻劑材料、或者矽系或碳系旋塗硬罩幕(spin-on hard mask,SOH)材料形成。所述硬罩幕可在形成通道孔110之後藉由例如灰化製程及/或剝離製程來移除。
如圖2所示,可沿第三方向形成多個通道孔110以形成通道孔列。多個通道孔列可沿第二方向排列。
所述通道孔列可排列成使得其中所包含的通道孔110可形成鋸齒形排列。因此,在單位面積的基板100中,通道孔110的密度可提高。
預定數目的通道孔列可界定通道孔組。舉例而言,圖2所示的4個通道孔列可界定一個通道孔組。多個通道孔組可沿第二方向形成。
參見圖4,可在通道孔110的側壁及底部上以及最上絕緣夾層102g的頂面上形成介電層115。
在某些實施例中,介電層115可具有多層堆疊式(multi-stacked)結構,所述多層堆疊式結構包括阻擋層、電荷存儲層及穿隧絕緣層。
所述阻擋層可使用氧化物(例如,氧化矽)形成,所述電荷存儲層可使用氮化矽或金屬氧化物形成,且所述穿隧絕緣層可使用氧化物(例如,氧化矽)形成。在某些實施例中,介電層115可具有氧化物-氮化物-氧化物(oxide-nitride-oxide,ONO)層結構。阻擋層、電荷存儲層、及穿隧絕緣層可藉由CVD製程、PECVD製程、原子層沈積製程等形成。
參見圖5,可局部地移除介電層115以形成介電層結構120。
舉例而言,介電層115的上部及下部可藉由回蝕(etch-back)製程移除。因此,介電層115的形成於最上絕緣夾層102g的頂面上以及基板100的頂面上的部分可實質上被移除,以形成介電層結構120。
介電層結構120可形成於通道孔110中的每一者中。舉例而言,介電層結構120可形成於通道孔110的側壁上,且可具有實質上吸管形狀。在形成介電層結構120之後,可再次暴露出基板100的頂面。
參見圖6,可在最上絕緣夾層102g的表面及介電層結構120的表面、以及基板100的頂面上形成通道層125,然後可在通道層125上形成第一填充層127以填充通道孔110的其餘部分。
在實例性實施例中,通道層125可由可視需要摻雜有雜質的多晶矽或非晶矽形成。在某些實施例中,可對通道層125進一步進行熱處理或雷射束照射。在此種情形中,通道層125可包含單晶矽,且可矯正通道層125中的缺陷。
第一填充層127可使用絕緣材料(例如,氧化矽或氮化矽)形成。通道層125及第一填充層127可藉由CVD製程、PECVD製程、ALD製程等形成。
在某些實施例中,通道層125可形成爲完全填充通道孔110。在此種情形中,可省略形成第一填充層127的步驟。
參見圖7,可將第一填充層127及通道層125平坦化,直至暴露出最上絕緣夾層102g爲止,以形成通道130及第一填充層圖案135,通道130及第一填充層圖案135自介電層結構120的側壁依序堆疊並填充通道孔110。平坦化製程可包括回蝕製程及/或化學機械研磨(chemical mechanical polish,CMP)製程。
通道130可具有實質上杯的形狀,且可接觸基板100的被暴露出的頂面。第一填充層圖案135可具有實質上實心圓柱形狀或實質上立柱(pillar)形狀。在某些實施例中,如果通道層125完全填充通道孔110,則可省略第一填充層圖案135且通道130可具有實質上實心圓柱形狀或實質上立柱形狀。
在通道孔110中的每一者中形成通道130之後,可根據上述通道孔列來界定通道列。舉例而言,4個通道列可界定一個通道組。
在某些實施例中,可在形成介電層結構120及通道130之前,還形成用於填充通道孔110的下部的半導體圖案(未示出)。舉例而言,所述半導體圖案可使用基板100的頂面作爲晶種(seed)藉由選擇性磊晶生長(selective epitaxial growth,SEG)製程來形成。所述半導體圖案可包含多晶矽或單晶矽。
參見圖8,可形成覆蓋通道孔110的上部的墊140。
舉例而言,可藉由回蝕製程移除介電層結構120、通道130及第一填充層圖案135的上部,以形成凹槽137。可在介電層結構120、通道130、第一填充層圖案135及最上絕緣夾層102g上形成用於填充凹槽137的墊層(pad layer)。可將所述墊層的上部平坦化直至暴露出最上絕緣夾層102g的頂面,以得到墊140。在某些實施例中,所述墊層可使用視需要摻雜有n型雜質的多晶矽藉由例如CVD製程來形成。在某些實施例中,可使用非晶矽形成初步的墊層,然後可對所述初步的墊層執行結晶化製程以形成墊層。平坦化製程可包括CMP製程。
參見圖9及圖10,可局部地蝕刻模具結構105以形成開口150。
舉例而言,可在某些通道列之間形成罩幕圖案(未示出),所述罩幕圖案遮蓋墊140並暴露出部分的最上絕緣夾層102g。可使用所述罩幕圖案作爲蝕刻罩幕來執行乾式蝕刻製程,使得絕緣夾層102及犧牲層104的位於某些通道列之間的部分可被移除以形成開口150。硬罩幕可使用光阻劑材料或SOH材料形成。所述硬罩幕可在形成開口150之後,藉由灰化製程及/或剝離製程來移除。
開口150可延伸穿過模具結構105,且基板100的頂面可藉由所述開口150而被暴露出。開口150可在第三方向上延伸,且多個開口150可沿第二方向形成。
開口150可用作閘極線切割區(gate line cut region)。可在第二方向上在彼此相鄰的開口150之間界定通道組。在某些實施例中,位於開口150之間的四個通道列可形成通道組。
可藉由形成開口150而將絕緣夾層102及犧牲層104分別變成絕緣夾層圖案106(例如,絕緣夾層圖案106a至絕緣夾層圖案106g)及犧牲層圖案108(例如,犧牲層圖案108a至犧牲層圖案108f)。絕緣夾層圖案106及犧牲層圖案108可具有環繞通道組的線性形狀。
參見圖11,可移除被開口150暴露出側壁的犧牲層圖案108。藉由移除犧牲層圖案108,可在在第一方向上相鄰的絕緣夾層圖案106之間界定間隙160。可藉由間隙160局部地暴露出介電層結構120的外側壁。
如上所述,犧牲層圖案108及絕緣夾層圖案106可分別包含氮化物系材料及氧化物系材料。在實例性實施例中,犧牲層圖案108及絕緣夾層圖案106可分別包含氮化矽(Si3 N4 )及氧化矽(SiO2 )。
因此,可利用根據實例性實施例的用於氮化物層的蝕刻劑組成物來選擇性地移除犧牲層圖案108。
根據實例性實施例的蝕刻劑組成物可包含磷酸、矽-氟化合物且其餘爲水。在某些實施例中,基於蝕刻劑組成物的總重量計,所述蝕刻劑組成物可包含約80重量%至約90重量%的量的磷酸、約0.02重量%至約0.1重量%的量的矽-氟化合物且其餘爲水。
在實施例中,基於蝕刻劑組成物的總重量計,所述蝕刻劑組成物可包含約80重量%至約85重量%的量的磷酸、約0.03重量%至約0.07重量%的量的矽-氟化合物且其餘爲水。
在某些實施例中,所述蝕刻劑組成物還可包含上述蝕刻增強劑。
在實例性實施例中,犧牲層圖案108可藉由相對於絕緣夾層圖案106的蝕刻選擇性爲至少200的蝕刻劑組成物來移除。在實施例中,犧牲層圖案108可藉由相對於絕緣夾層圖案106的蝕刻選擇性爲至少250的蝕刻劑組成物來移除。舉例而言,犧牲層圖案108相對於絕緣夾層圖案106的蝕刻選擇性可處於約200至約300的範圍內。
當重複地並交替地堆疊或以三維方式堆疊絕緣夾層圖案106及犧牲層圖案108時,即使蝕刻劑組成物被設計成具有預定蝕刻選擇性,在移除犧牲層圖案108期間絕緣夾層圖案106仍可能被損壞。因此,在每一間隙160中形成閘極線的後續製程中,相鄰層面之間的閘極線可能不會完全分離,從而導致操作故障。
此外,如果在移除犧牲層圖案108期間絕緣夾層圖案106也受到蝕刻,則包含例如氧化矽的蝕刻殘留物可吸附在基板100或其它結構上。
因此,在高度集成的垂直存儲裝置的製造過程中,可能需要蝕刻選擇性大於約200的用於氮化物層的蝕刻劑組成物。
在對照實例中,蝕刻劑組成物中可包含氟酸或氟化合物(例如,氟化銨),以提高對於氮化物層的蝕刻選擇性。然而,僅藉由包含氟化合物可能無法得到大於約200的蝕刻選擇性。
在對照實例中,蝕刻劑組成物中可包含矽化合物或矽烷化合物(例如,甲矽烷基硫酸鹽或肟基矽烷),以提高對於氮化物層的蝕刻選擇性。然而,矽化合物可能對水或磷酸具有差的溶解性,從而進一步在蝕刻製程期間產生氧化矽,所述氧化矽可吸附在基板100或其它結構上。
然而,根據實例性實施例,用於氮化物層的蝕刻劑組成物可包含可在水或磷酸中容易溶解的矽-氟化合物。因此,可不造成氧化矽的吸附,且可實現大於約200的對於氮化物層的蝕刻選擇性。因此,可選擇性地移除犧牲層圖案108而不會損壞絕緣夾層圖案106且不會產生蝕刻殘留物。
如上所述,矽-氟化合物可包含六氟矽酸銨、氟矽酸銨、氟矽酸鈉、四氟化矽、六氟矽酸或其組合。
在實例性實施例中,用於犧牲層圖案108的蝕刻製程可在約140℃至約170℃範圍內的溫度下進行。在某些實施例中,蝕刻製程可在約160℃的溫度下進行。
參見圖12,可形成填充間隙160的閘極層165。
在實例性實施例中,閘極層165可沿介電層結構120的暴露的外側壁、絕緣夾層圖案106的表面、基板100的暴露的頂面以及墊140的頂面形成。閘極層165可完全填充間隙160,且可局部地填充開口150。
閘極層165可使用具有低電阻及低功函數的金屬或金屬氮化物(例如,鎢、氮化鎢、鈦、氮化鈦、鉭、氮化鉭、鉑等)形成。在某些實施例中,閘極層165可具有包含障壁層(barrier layer)及金屬層的多層式結構。障壁層可由金屬氮化物形成。閘極層165可藉由CVD製程、PECVD製程、ALD製程、物理氣相沈積(physical vapor deposition,PVD)製程或濺鍍製程形成。
在某些實施例中,可在形成閘極層165之前在間隙160的內壁及絕緣夾層圖案106的表面上進一步形成包含例如氧化矽或金屬氧化物的額外阻擋層。
參見圖13,可局部地移除閘極層165以在間隙160中形成閘極線170(例如,閘極線170a至閘極線170f)。
舉例而言,可藉由例如CMP製程將閘極層165的上部平坦化,直至暴露出最上絕緣夾層圖案106g的頂面。可蝕刻閘極層165的形成於開口150中及在基板100的頂面中的部分,以在每一間隙160中形成閘極線170。閘極層165可利用例如過氧化氫(H2 O2 )經由濕式蝕刻製程而被局部地蝕刻。
閘極線170可包括在第一方向上依序堆疊並彼此間隔開的GSL、字線及/或SSL。舉例而言,最下閘極線170a可用作GSL。GSL上的四個閘極線170b、170c、170d及170e可用作字線。字線上的最上閘極線170f可用作SSL。然而,GSL、字線及SSL的堆疊數目可考慮到垂直存儲裝置的電路設計及積集度而進行適當調整。
每一層面處的閘極線170可在第三方向上延伸且可環繞介電層結構120及通道130。每一層面處的閘極線170可環繞預定數目的通道列。舉例而言,每一層面處的閘極線170可環繞包括例如4個通道列的通道組。因此,可由在第一方向上堆疊的閘極線170來界定閘極線結構,每一閘極線可在第三方向上延伸以環繞通道組。
參見圖14,可在經由開口150而被暴露出的基板100的上部形成雜質區101,且可在開口150中形成第二填充層圖案175。
舉例而言,可在最上絕緣夾層圖案106g上形成遮蓋所述墊140的離子植入罩幕(未示出)。可經由開口150植入N型雜質(例如,磷(P)及/或砷(As))以形成雜質區101。
雜質區101可在第三方向上延伸,且可用作垂直存儲裝置的共用源極線(common source line,CSL)。在某些實施例中,可在雜質區101上進一步形成金屬矽化物圖案,例如矽化鈷圖案或矽化鎳圖案。
可在基板100、最上絕緣夾層圖案106g以及墊140上形成第二填充層以填充開口150。可藉由例如CMP製程或回蝕製程將所述第二填充層的上部平坦化,直至暴露出最上絕緣夾層圖案106g爲止,以形成第二填充層圖案175。第二填充層可使用絕緣材料(例如,氧化矽)藉由例如CVD製程來形成。
參見圖15,可在最上絕緣夾層圖案106g、第二填充層圖案175及墊140上形成上絕緣層180。上絕緣層180可使用絕緣材料(例如,氧化矽)藉由例如CVD製程或旋塗製程來形成。
在某些實施例中,第二填充層可形成爲填充開口150並遮蓋最上絕緣夾層圖案106g及墊140。在此種情形中,可省略形成上絕緣層180的步驟。
可穿過上絕緣層180形成位元線接點185以接觸墊140。可在上絕緣層180上形成位元線190,以電性連接至位元線接點185。位元線接點185及位元線190可使用金屬、金屬氮化物或經摻雜的多晶矽藉由PVD製程、ALD製程或濺鍍製程來形成。
可根據墊140的排列而形成多個位元線接點185,以形成位元線接點陣列。位元線190可經由位元線接點185電性連接至多個墊140。位元線190可在第二方向上延伸,且多個位元線190可沿第三方向排列。
以下,將參照實驗實例更詳細地描述根據實例性實施例的用於氮化物層的蝕刻劑組成物的蝕刻性質。
實驗 實例 1 :評估蝕刻劑組成物的蝕刻性質
向85%的磷酸及水(DIW)中添加肟基矽烷或TEOS作爲矽化合物、以及NH4 HF2 或NH4 F作爲氟化合物,以製備對照實例的蝕刻劑組成物。向85%的磷酸及水中添加六氟矽酸銨(ammonium hexafluorosilicate,AHFS)作爲矽-氟化合物,以製備各實例的蝕刻劑組成物。
藉由離心法以約4,000 rpm的速率攪拌每一蝕刻劑組成物,並進行監測以判斷所述組成物的成分是否已完全溶解於磷酸中。
利用蝕刻劑組成物在160℃下測量對於氮化矽層(Si3 N4 )及熱氧化物層(SiO2 )的蝕刻速率,且利用所測量結果計算蝕刻選擇性。
對照實例及實例的蝕刻劑組成物的成分、以及實驗結果列示於下表1及表2中。 1 :蝕刻劑組成物的成分 2 :蝕刻劑組成物的評估結果
參見表1及表2,在包含矽化合物的對照實例1及對照實例2的蝕刻劑組成物中,所述組成物中的矽化合物未實質上溶解。因此,無法測量蝕刻速率。
在另外包含氟化合物的對照實例3及對照實例4的蝕刻劑組成物中,藉由添加氟化合物,所述組成物的成分被溶解。然而,對於氮化物層的蝕刻選擇性低於2。因此,可確認到氟化合物增大了總體蝕刻速率,然而,所述蝕刻劑組成物因其蝕刻選擇性差而無法用作氮化物層的選擇性組成物。
在包含AHFS作爲矽-氟化合物的實例1至實例3的蝕刻劑組成物中,獲得了與對照實例的蝕刻選擇性相比大得多的蝕刻選擇性。在實例1及實例2中,獲得了大於200的蝕刻選擇性。具體而言,在其中AHFS的量爲0.05重量%的實例1中,獲得了大於285的蝕刻選擇性。
實驗實例 2 :測量蝕刻選擇性隨矽 - 氟化合物的量的變化
改變矽-氟化合物的類型及濃度,以實質上相同於實驗實例1的方式測量包含矽-氟化合物及磷酸(85重量%)的蝕刻劑組成物的蝕刻速率(Å/分鐘)及蝕刻選擇性。所得結果列示於下表3中。 3 * AFS:氟矽酸銨,SFS:氟矽酸鈉,STF:四氟化矽,HFSA:六氟矽酸
參見表3,當矽-氟化合物的量超過約0.01重量%時,在這5種情形中均獲得了大於約100的蝕刻選擇性。此外,當矽-氟化合物的量達到約0.05重量%時,獲得了大於約200的蝕刻選擇性。具體而言,當使用AHFS及HFSA時,蝕刻選擇性超過了250。
以分段更細的單位改變AHFS的量,在160℃下測量蝕刻選擇性。
圖16爲顯示蝕刻選擇性隨六氟矽酸銨的量而變化的曲線圖。在圖16中,X-軸表示AHFS的量,且Y-軸表示蝕刻選擇性(氮化矽/氧化矽)。
參見圖16,當AHFS的量介於約0.02重量%與約0.1重量%之間時,獲得了大於約200的蝕刻選擇性。此外,在AHFS的量介於約0.03重量%至約0.07重量%之間時,蝕刻選擇性大於250。在AHFS的量爲約0.05重量%時,獲得最大的蝕刻選擇性。
如圖16所示,當AHFS的量超過約0.1重量%時,蝕刻選擇性實質上線性降低。因此,可確認到,對於氧化物層的蝕刻速率隨著組成物中氟的量過度增加而增大。
實驗 實例 3 :測量蝕刻選擇性隨溫度的變化
利用包含磷酸(85重量%)、矽-氟化合物(0.05重量%)且其餘爲水的蝕刻劑組成物在改變溫度的同時測量對於氮化物層及氧化物層的蝕刻速率(Å/分鐘)。所得結果列示於下表4至表6中。 4 :對於氮化物層( Si3 N4 )的蝕刻速率 5 :對於氧化物層( SiO2 )的蝕刻速率 6 相對 於氧化物層對於氮化物層的蝕刻選擇性
參見表4至表6,氧化物層在低於約140℃的溫度下實質上未受到蝕刻,且因此蝕刻選擇性被計算爲不確定的(在表6中標示爲“-”)。然而,在所述溫度範圍內,對於氮化物層的蝕刻速率被限制爲小於約50 Å/分鐘,且因此用於蝕刻氮化物層的過程時間在實際製造過程中可能會過度增加。
在約140℃的溫度下,當利用AHFS時,對於氮化物層的蝕刻速率大於50 Å/分鐘,所述50 Å/分鐘是實際製造過程的臨界速率。當利用STF及HFS時,獲得了接近臨界速率的對於氮化物層的蝕刻速率。氧化物層實質上未受到蝕刻,因而蝕刻選擇性增大爲不確定的值。
在約150℃的溫度下,當利用AHFS時,對於氮化物層的蝕刻速率大於100 Å/分鐘。當利用STF及HFS時對於氮化物層的蝕刻速率也接近100 Å/分鐘。蝕刻選擇性總體上大於約2000。
在約160℃的溫度下,在所有矽-氟化合物中均獲得了大於約200的充分的蝕刻選擇性,且對於氮化物層的蝕刻速率總體上大於約100 Å/分鐘。
可預料,在高於約170℃的溫度下,由於對於氧化物層的蝕刻速率增大,因此蝕刻選擇性可變得小於約200。
因此,可選擇約140℃至約170℃,在實例性實施例中約140℃至約160℃的溫度範圍,以獲得對於氮化物層的大於約200的蝕刻選擇性、同時使對於氮化物層的蝕刻速率保持處於所需範圍內。
根據本發明概念的實例性實施例,用於氮化物層的蝕刻劑組成物可包含磷酸及矽-氟化合物。所述矽-氟化合物可有利於對於氮化物層的蝕刻速率、同時抑制對於氧化物層的蝕刻速率。因此,可利用所述蝕刻劑組成物來實現相對於氧化物層的氮化物層的例如大於約200的高蝕刻選擇性。另外,所述矽-氟化合物可對水或磷酸具有提高的溶解性,使得可防止蝕刻殘留物吸附在半導體基板或氧化物層上。
以上說明只是例示各實例性實施例,而不應被視爲對實例性實施例的限制。儘管已描述了若干實例性實施例,然而所屬領域的技術人員將易知,在不實質上背離本發明概念的新穎教示內容及優點的條件下,可對實例性實施例作出諸多修改。因此,所有此等修改均旨在包含於由申請專利範圍所界定的本發明概念的範圍內。在申請專利範圍中,手段加功能(means-plus-function)條款旨在涵蓋在本文中被描述爲執行所述功能的結構且不僅涵蓋結構等效形式且還涵蓋等效結構。因此,應理解,以上說明只是例示各種實例性實施例,且不應被視爲僅限於所揭示的特定實例性實施例,且對所揭示實例性實施例的修改以及其它實例性實施例均旨在包含於隨附申請專利範圍的範圍內。
100‧‧‧基板
101‧‧‧雜質區
102‧‧‧絕緣夾層
102a、102b、102c、102d、102e、102f、102g‧‧‧絕緣夾層
104‧‧‧犧牲層
104a、104b、104c、104d、104e、104f‧‧‧犧牲層
105‧‧‧模具結構
106a、106b、106c、106d、106e、106f、106g‧‧‧絕緣夾層圖案
108a、108b、108c、108d、108e、108f‧‧‧犧牲層圖案
110‧‧‧通道孔
115‧‧‧介電層
120‧‧‧介電層結構
125‧‧‧通道層
127‧‧‧第一填充層
130‧‧‧通道
135‧‧‧第一填充圖案
137‧‧‧凹槽
140‧‧‧墊
150‧‧‧開口
160‧‧‧間隙
165‧‧‧閘極層
170a、170b、170c、170d、170e、170f‧‧‧閘極線
175‧‧‧第二填充層圖案
180‧‧‧上絕緣層
185‧‧‧位元線接點
190‧‧‧位元線
I-I’‧‧‧截取線
結合附圖閱讀以下詳細說明,將更清楚地理解各實例性實施例。圖1至圖16表示本文所述的非限制性的實例性實施例。 圖1至圖15爲繪示根據實例性實施例的一種製造半導體裝置的方法的剖視圖及俯視圖。 圖16爲顯示蝕刻選擇性隨著六氟矽酸銨(ammonium hexafluorosilicate)的量而變化的曲線圖。
100‧‧‧基板
106a、106b、106c、106d、106e、106f、106g‧‧‧絕緣夾層圖案
120‧‧‧介電層結構
130‧‧‧通道
135‧‧‧第一填充圖案
140‧‧‧墊
150‧‧‧開口
160‧‧‧間隙

Claims (20)

  1. 一種用於氮化物層的蝕刻劑組成物,包含: 以所述蝕刻劑組成物的總重量計,約80重量%至約90重量%的量的磷酸; 以所述蝕刻劑組成物的總重量計,約0.02重量%至約0.1重量%的量的矽-氟化合物,所述矽-氟化合物包含Si-F鍵結;以及 其餘爲水。
  2. 如申請專利範圍第1項所述的用於氮化物層的蝕刻劑組成物,其中以所述蝕刻劑組成物的總重量計,所述蝕刻劑組成物以約0.03重量%至約0.07重量%的量包含所述矽-氟化合物。
  3. 如申請專利範圍第1項所述的用於氮化物層的蝕刻劑組成物,其中所述矽-氟化合物包含選自六氟矽酸銨、氟矽酸銨、氟矽酸鈉、四氟化矽及六氟矽酸中的至少一者。
  4. 如申請專利範圍第1項所述的用於氮化物層的蝕刻劑組成物,其中在所述蝕刻劑組成物中不含有不包含所述Si-F鍵結的矽化合物及氟化合物。
  5. 如申請專利範圍第4項所述的用於氮化物層的蝕刻劑組成物,其中所述矽化合物包括肟基矽烷、甲矽烷基硫酸鹽或四乙氧基矽烷,且所述氟化合物包括氟酸或氟化銨。
  6. 如申請專利範圍第1項所述的用於氮化物層的蝕刻劑組成物,還包含蝕刻增強劑。
  7. 如申請專利範圍第6項所述的用於氮化物層的蝕刻劑組成物,其中所述蝕刻增強劑包含硫酸系化合物、或除氟化銨外的酸銨系化合物。
  8. 如申請專利範圍第1項所述的用於氮化物層的蝕刻劑組成物,其中相對於氧化物層,所述蝕刻劑組成物對於氮化物層的蝕刻選擇性超過約200。
  9. 如申請專利範圍第8項所述的用於氮化物層的蝕刻劑組成物,其中相對於所述氧化物層,所述蝕刻劑組成物對於所述氮化物層的蝕刻選擇性處於約250至約300的範圍內。
  10. 一種製造半導體裝置的方法,包括: 在基板上交替地並重複地形成絕緣夾層及犧牲層; 形成穿過所述絕緣夾層及所述犧牲層的多個通道; 局部地移除所述絕緣夾層及所述犧牲層,以在所述多個通道中的相鄰通道之間形成開口; 利用用於氮化物層的蝕刻劑組成物移除由所述開口暴露出的所述犧牲層,所述蝕刻劑組成物包含磷酸、矽-氟化合物且其餘爲水,所述矽-氟化合物包含Si-F鍵結;以及 在所述犧牲層被移除的每一空間中形成閘極線。
  11. 如申請專利範圍第10項所述的製造半導體裝置的方法,其中以所述蝕刻劑組成物的總重量計,所述蝕刻劑組成物包含約80重量%至約90重量%的量的磷酸、約0.02重量%至約0.1重量%的量的所述矽-氟化合物,且其餘爲水。
  12. 如申請專利範圍第11項所述的製造半導體裝置的方法,其中以所述蝕刻劑組成物的總重量計,所述蝕刻劑組成物以約0.03重量%至約0.07重量%的量包含所述矽-氟化合物。
  13. 如申請專利範圍第10項所述的製造半導體裝置的方法,其中所述絕緣夾層包含氧化矽,且所述犧牲層包含氮化矽。
  14. 如申請專利範圍第13項所述的製造半導體裝置的方法,其中所述犧牲層相對於所述絕緣夾層的蝕刻選擇性處於約200至約300的範圍內。
  15. 如申請專利範圍第10項所述的製造半導體裝置的方法,其中所述矽-氟化合物包含選自六氟矽酸銨、氟矽酸銨、氟矽酸鈉、四氟化矽及六氟矽酸中的至少一者。
  16. 如申請專利範圍第10項所述的製造半導體裝置的方法,其中移除所述犧牲層是在約140℃至約170℃的範圍內的溫度下進行。
  17. 如申請專利範圍第10項所述的製造半導體裝置的方法,其中所述開口暴露出所述基板的頂面。
  18. 如申請專利範圍第17項所述的製造半導體裝置的方法,更包括: 在經由所述開口暴露出的所述基板的上部形成雜質區;且 在所述雜質區上形成填充層圖案,以填充所述開口。
  19. 如申請專利範圍第10項所述的製造半導體裝置的方法,更包括形成環繞所述通道的外側壁的介電層結構。
  20. 如申請專利範圍第10項所述的製造半導體裝置的方法,其中在用於氮化物層的所述蝕刻劑組成物中不包含矽烷化合物、氟酸及氟化銨。
TW104121967A 2014-10-30 2015-07-07 蝕刻劑組成物及使用其製造半導體裝置的方法 TW201615804A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020140148922A KR20160050536A (ko) 2014-10-30 2014-10-30 질화막 식각 조성물 및 이를 이용한 반도체 장치의 제조 방법

Publications (1)

Publication Number Publication Date
TW201615804A true TW201615804A (zh) 2016-05-01

Family

ID=55853467

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104121967A TW201615804A (zh) 2014-10-30 2015-07-07 蝕刻劑組成物及使用其製造半導體裝置的方法

Country Status (5)

Country Link
US (1) US20160126107A1 (zh)
JP (1) JP2016092392A (zh)
KR (1) KR20160050536A (zh)
CN (1) CN105573052A (zh)
TW (1) TW201615804A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110157434A (zh) * 2018-02-13 2019-08-23 东友精细化工有限公司 绝缘层蚀刻剂组合物和使用该绝缘层蚀刻剂组合物形成图案的方法
CN112996881A (zh) * 2018-11-15 2021-06-18 恩特格里斯公司 氮化硅蚀刻组合物及方法

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10147619B2 (en) 2015-08-27 2018-12-04 Toshiba Memory Corporation Substrate treatment apparatus, substrate treatment method, and etchant
US9698151B2 (en) * 2015-10-08 2017-07-04 Samsung Electronics Co., Ltd. Vertical memory devices
US10325779B2 (en) * 2016-03-30 2019-06-18 Tokyo Electron Limited Colloidal silica growth inhibitor and associated method and system
US10515820B2 (en) 2016-03-30 2019-12-24 Tokyo Electron Limited Process and apparatus for processing a nitride structure without silica deposition
KR102424391B1 (ko) * 2016-11-24 2022-08-05 삼성전자주식회사 식각 조성물 및 이를 이용한 집적회로 소자의 제조 방법
US10995269B2 (en) 2016-11-24 2021-05-04 Samsung Electronics Co., Ltd. Etchant composition and method of fabricating integrated circuit device using the same
CN106847821B (zh) 2017-03-07 2018-09-14 长江存储科技有限责任公司 半导体结构及其形成方法
KR102237769B1 (ko) * 2017-03-15 2021-04-09 가부시끼가이샤 도시바 에칭액, 에칭 방법, 및 전자 부품의 제조 방법
KR102378930B1 (ko) * 2017-03-28 2022-03-24 동우 화인켐 주식회사 질화막 식각 조성물 및 이를 이용한 패턴 형성 방법
WO2018184602A1 (en) * 2017-04-04 2018-10-11 Tescan Brno, S.R.O. A method of etching one or more of mixed metal and dielectric layer of a semiconductor device
KR101828437B1 (ko) * 2017-04-06 2018-03-29 주식회사 디엔에스 실리콘 질화막 식각용 조성물.
TW201909264A (zh) 2017-07-17 2019-03-01 美商應用材料股份有限公司 氮化物特徵的受控蝕刻
SG11202001854VA (en) 2017-09-06 2020-03-30 Entegris Inc Compositions and methods for etching silicon nitride-containing substrates
CN107946310B (zh) * 2017-11-16 2021-01-01 长江存储科技有限责任公司 一种采用气隙作为介电层的3d nand闪存制备方法及闪存
KR102602860B1 (ko) * 2017-11-24 2023-11-16 동우 화인켐 주식회사 절연막 식각액 조성물 및 이를 이용한 패턴 형성 방법
KR102629574B1 (ko) * 2017-11-24 2024-01-26 동우 화인켐 주식회사 절연막 식각액 조성물 및 이를 이용한 패턴 형성 방법
KR102024758B1 (ko) * 2018-05-26 2019-09-25 에스케이이노베이션 주식회사 식각액 조성물, 절연막의 식각방법, 반도체 소자의 제조방법 및 실란화합물
CN109135752A (zh) * 2018-09-21 2019-01-04 湖北兴福电子材料有限公司 一种磷酸基蚀刻液及其配制方法
KR20200044426A (ko) * 2018-10-19 2020-04-29 동우 화인켐 주식회사 식각액 조성물 및 이에 포함되는 실란계 커플링제의 선정 방법
KR102653939B1 (ko) * 2018-11-27 2024-04-02 삼성전자주식회사 수직형 메모리 장치의 제조 방법
KR20200086141A (ko) * 2019-01-08 2020-07-16 삼성전자주식회사 실리콘 질화물용 식각제 조성물 및 반도체 소자의 제조 방법
KR102584616B1 (ko) * 2019-01-28 2023-10-05 오씨아이 주식회사 실리콘 기판 식각 용액 및 이를 사용한 반도체 소자의 제조 방법
CN111925799B (zh) * 2020-08-14 2021-10-01 上海新阳半导体材料股份有限公司 一种高选择比蚀刻液、其制备方法及应用
CN111925798B (zh) * 2020-08-14 2021-09-28 上海新阳半导体材料股份有限公司 一种蚀刻液组合物、其制备方法及应用
CN111925796B (zh) * 2020-08-14 2021-08-06 上海新阳半导体材料股份有限公司 高选择比氮化硅蚀刻液,其制备方法及应用
CN111925805B (zh) * 2020-08-14 2021-09-28 上海新阳半导体材料股份有限公司 一种蚀刻液组合物、其制备方法及应用
CN111925797B (zh) * 2020-08-14 2021-10-01 上海新阳半导体材料股份有限公司 高选择比氮化硅蚀刻液、其制备方法及应用
US11626517B2 (en) 2021-04-13 2023-04-11 Macronix International Co., Ltd. Semiconductor structure including vertical channel portion and manufacturing method for the same

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5472562A (en) * 1994-08-05 1995-12-05 At&T Corp. Method of etching silicon nitride
JPH09275091A (ja) * 1996-04-03 1997-10-21 Mitsubishi Electric Corp 半導体窒化膜エッチング装置
US5753032A (en) * 1996-09-27 1998-05-19 W. R. Grace & Co.-Conn. Composition and method to remove asbestos
US6162370A (en) * 1998-08-28 2000-12-19 Ashland Inc. Composition and method for selectively etching a silicon nitride film
JP4506177B2 (ja) * 2004-01-14 2010-07-21 東ソー株式会社 エッチング用組成物
TWI331775B (en) * 2005-05-17 2010-10-11 Apprecia Technology Inc Equipment and method for measuring silicon concentration in phosphoric acid solution
KR20080079999A (ko) * 2007-02-28 2008-09-02 토소가부시키가이샤 에칭 방법 및 그것에 이용되는 에칭용 조성물
JP4983422B2 (ja) * 2007-06-14 2012-07-25 東ソー株式会社 エッチング用組成物及びエッチング方法
US8211810B2 (en) * 2007-09-21 2012-07-03 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus and substrate processing method for performing etching process with phosphoric acid solution
JP4966223B2 (ja) * 2008-02-29 2012-07-04 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US8008087B1 (en) * 2010-03-25 2011-08-30 Eci Technology, Inc. Analysis of silicon concentration in phosphoric acid etchant solutions
US9076879B2 (en) * 2012-09-11 2015-07-07 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory device and method for fabricating the same
KR102031187B1 (ko) * 2012-10-05 2019-10-14 삼성전자주식회사 수직형 메모리 장치
JP2014187321A (ja) * 2013-03-25 2014-10-02 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110157434A (zh) * 2018-02-13 2019-08-23 东友精细化工有限公司 绝缘层蚀刻剂组合物和使用该绝缘层蚀刻剂组合物形成图案的方法
CN112996881A (zh) * 2018-11-15 2021-06-18 恩特格里斯公司 氮化硅蚀刻组合物及方法

Also Published As

Publication number Publication date
US20160126107A1 (en) 2016-05-05
KR20160050536A (ko) 2016-05-11
JP2016092392A (ja) 2016-05-23
CN105573052A (zh) 2016-05-11

Similar Documents

Publication Publication Date Title
TW201615804A (zh) 蝕刻劑組成物及使用其製造半導體裝置的方法
KR102593797B1 (ko) 접합된 3차원 메모리 디바이스 및 캐리어 기판을 소스 층으로 대체함으로써 이를 제조하는 방법
US11837646B2 (en) 3D capacitor and method of manufacturing same
TWI570915B (zh) 半導體裝置以及製造鰭式場效電晶體裝置的方法
US9461061B2 (en) Vertical memory devices and methods of manufacturing the same
TWI512988B (zh) 鰭式場效電晶體
JP5341529B2 (ja) 不揮発性半導体記憶装置の製造方法
KR102058218B1 (ko) 반도체 디바이스용 핀 구조체
US9530670B2 (en) Methods of forming conductive patterns and methods of manufacturing semiconductor devices using the same using an etchant composition that includes phosphoric acid, nitric acid, and an assistant oxidant
US20220375795A1 (en) Method for Forming Semiconductor Device Structure with Gate and Resulting Structures
KR101560871B1 (ko) 핀펫을 위한 게이트 콘택트 구조
US10825737B2 (en) Prevention of contact bottom void in semiconductor fabrication
TW201814921A (zh) 半導體裝置及其製造方法
TW201743458A (zh) 半導體元件的製造方法
TW201824357A (zh) 形成介電膜的方法以及製造半導體裝置的方法
KR20150015341A (ko) 반도체 디바이스의 컨택 구조물
US11495494B2 (en) Methods for reducing contact depth variation in semiconductor fabrication
KR101730453B1 (ko) 질화막 식각 조성물 및 이를 이용한 반도체 장치의 제조 방법
TW201924042A (zh) 半導體元件及其製造方法
TW202213789A (zh) 半導體結構之製造方法
TW201919119A (zh) 製造半導體結構之方法
TW202209449A (zh) 半導體電晶體裝置及形成半導體電晶體裝置的方法
KR101730454B1 (ko) 질화막 식각 조성물 및 이를 이용한 반도체 장치의 제조 방법
TW201703125A (zh) 半導體裝置結構及其製造方法
TWI815155B (zh) 半導體結構及其形成方法