TW201546961A - 半導體裝置中使用替代金屬閘程序以形成自我對準接觸窗之方法 - Google Patents

半導體裝置中使用替代金屬閘程序以形成自我對準接觸窗之方法 Download PDF

Info

Publication number
TW201546961A
TW201546961A TW104107495A TW104107495A TW201546961A TW 201546961 A TW201546961 A TW 201546961A TW 104107495 A TW104107495 A TW 104107495A TW 104107495 A TW104107495 A TW 104107495A TW 201546961 A TW201546961 A TW 201546961A
Authority
TW
Taiwan
Prior art keywords
layer
contact window
self
aligned contact
semiconductor device
Prior art date
Application number
TW104107495A
Other languages
English (en)
Other versions
TWI533407B (zh
Inventor
Andrew Metz
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201546961A publication Critical patent/TW201546961A/zh
Application granted granted Critical
Publication of TWI533407B publication Critical patent/TWI533407B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

於此所揭露之技術提供一種閘極節距微縮解決方法,其用在替代金屬閘極製作方案中產生源極/汲極接觸窗。此技術提供一種自我對準接觸窗製程,其保護閘極電極不受到由於蝕刻未對準之圖案所產生之短路影響。本文之技術提供一種藉由在RMG形成結構的非平面之表面形貌上方沉積半保形材料而形成之雙層覆蓋層,並利用選擇性蝕刻及平坦化以產生雙層保護覆蓋層,而不使深寬比過度增大。

Description

半導體裝置中使用替代金屬閘程序以形成自我對準接觸窗之方法
本揭露內容有關製造半導體裝置。尤其,本揭露內容有關形成閘極結構、及製作連接到半導體結構之源極和汲極區域的接觸窗。
電晶體(如場效電晶體(FET,field effect transistor))是微電子及積體電路的基本元件。已持續帶動縮小或微縮電晶體及其他半導體裝置,以增加密度並改善處理效能。已知一種用以製作電晶體的技術,稱為替代金屬閘極(RMG,replacement metal gate)製程。替代金屬閘極製程涉及在製作期間產生犧牲或虛擬閘極(sacrificial or dummy gate),並隨後用金屬閘極電極取代虛擬閘極。如此之取代技術可用以製作金屬- 氧化物- 半導體場效電晶體(MOSFET,metal-oxide-semiconductor field effect transistor)及互補式金屬氧化物半導體(CMOS,complementary metal oxide semiconductor),且其可為鰭式場效電晶體(FinFET,fin field effect transistor)的形式。
在替代金屬閘極製程中,可利用虛擬閘極電極製作電晶體。虛擬閘極電極可由多晶矽材料所製成。因為多晶矽比大多數金屬更能耐受高溫處理,所以這是很有利的。因此,多晶矽可在較高溫度下與源極和汲極區域一起進行回火。在RMG製程中,形成虛擬閘極電極並隨後在虛擬閘極附近形成源極區域和汲極區域。最後利用閘極堆疊(其可包括高介電常數(高k值)閘極介電層及/或金屬閘極)取代虛擬閘極。在高溫處理步驟後形成閘極堆疊能使高k值閘極介電層及金屬閘極上的損壞降至最低。此外,更大範圍的金屬可供選擇作為閘極導體。
在用最終閘極或閘極形態取代虛擬閘極之後,可製作連接至源極和汲極之接觸窗。產生如此之接觸窗通常涉及蝕穿基板上的一或更多層。此蝕刻步驟可用蝕刻遮罩(其使用微影技術進行圖案化)加以輔助。在產生連接至源極和汲極區域之蝕刻開口的情況下,便可形成金屬接觸窗、並使半導體製作進行後續步驟(如互連步驟或連線步驟)。
隨著電晶體的臨界尺寸縮小,而變得難以精準地連接至源極和汲極。這對於鰭式場效電晶體尤其如此。例如,在80奈米或更大的閘極節距(pitch)之情況下,利用習知圖案化技術將源極或汲極接觸窗蝕刻的遮罩圖案一致地落在電晶體的閘極之間,而不須使用保護覆蓋層。然而,在閘極節距縮小的情況下,微影重覆未對準會變成重大的問題。在未對準的情況下,因為蝕刻圖案可能與閘極及源極或汲極重疊,而使蝕刻步驟可能產生源極/汲極與閘極電極之間的短路。閘極覆蓋層可用以補償重疊誤差。於替代閘極製程期間,介電覆蓋層係形成在虛擬閘極上方並鄰近間隙填充材料。然而,此覆蓋層通常過薄而無法適當作為遮罩(其用以執行自我對準接觸窗蝕刻以產生源極/汲極接觸窗之通道)之功能。然而,單純增加覆蓋層高度並非期望之解決方法,因為這將使深寬比增大,而其使閘極蝕刻更加困難並使無孔洞氧化物填充亦更加困難。
於此所揭露之技術提供了一種閘極節距微縮解決方法,其用以在替代金屬閘極製作方案中產生源極/汲極接觸窗。如此之技術提供一種自我對準接觸窗製程,其保護閘極電極不受到由於蝕刻未對準圖案所產生之短路的影響。本文之技術提供一種使用材料所形成之雙層覆蓋層,其係半保形地(semi-conformally)沉積並保有RMG形成結構的部份表面形貌。
一實施例包括一種形成半導體裝置中之自我對準接觸窗的方法。此方法包括:接收、產生、或提供具有第一材料組成物之第一結構及第二材料組成物之第二結構的基板。第二結構係緊鄰第一結構而形成。第一結構及第二結構具有不同的高度,第一結構與第二結構係配對以定義一第一表面形貌,而第一表面形貌在該結構的上表面處為非平面。將第一層沉積在第一表面形貌上。此第一層係部份保形,而使第一層定義一第二表面形貌(非平面表面形貌)。第一層定義了第一結構上方之凹谷部並在第二結構上方形成高峰部。將第二層沉積在第一層上。第二層的厚度足以填滿所定義之凹谷部並覆蓋第二表面形貌的高峰部,或至少部份填滿所定義之凹谷部。接著使第二層向下平坦化至第二結構上方的第一層,以使第一層中的高峰部露出,同時使第一層所定義之凹谷部保持被第二層填滿。接著將第一層的露出部份向下蝕刻直到到達第一層下方的第二結構為止。所定義之凹谷部中的第二層作為遮罩的功能,其阻止蝕刻第一結構上方的第一層(相對於蝕刻第二結構上方的第一層)。
當然,為清楚說明起見,故提出如於此所述之不同步驟的討論順序。通常,這些步驟可以任何適當順序來執行。此外,雖然本文之各個不同的特徵、技術、配置等等可在此揭露內容的不同地方加以討論,但欲使各個概念可以彼此獨立或互相組合的方式來執行。因此,本發明可用許多不同的方式來實現及觀察。
應注意到此發明內容章節並非要指出本揭露內容或所請發明的每一個實施例及/或增加新穎性實施態樣。反而此發明內容章節僅提供不同實施例及相對於習知技術具有新穎特點的初步討論。關於本發明及實施例的額外細節及/或可能觀點,讀者可詳見如以下進一步討論之本揭露內容的實施方式章節及對應圖式。
於此所揭露之技術提供一種閘極節距微縮解決方法,其用以在替代金屬閘極製作方案中產生源極/汲極接觸窗。如此之技術提供一種自我對準接觸窗製程,其保護閘極電極不會因使用未對準圖案之蝕刻而短路。本文之技術包括藉由使用塗佈在替代金屬閘極(RMG)形成結構及其他非平面結構的表面形貌上所形成之部份保形材料而產生雙層覆蓋層。
於此所揭露之自我對準接觸窗製程可應用在製作許多不同類型的電晶體及半導體裝置。為了方便描述實施例,此揭露內容主要聚焦在鰭式場效電晶體裝置的替代金屬閘極形成之後的自我對準接觸窗(SAC,self-aligned contact)蝕刻。
圖1A-1G繪示一種製備替代金屬閘極結構的習知方法。這些圖式顯示鰭式場效電晶體形成的橫剖面圖,其顯示平行於鰭部或平行於閘極所見之正交方向。圖1A顯示在虛擬閘極上形成間隔物後的橫剖面圖。虛擬閘極112(犧牲閘極)被保形矽氮化物間隔物114所覆蓋。虛擬閘極112及間隔物114下方為基板105,其可包括源極及/或汲極區域。虛擬閘極112可選擇自例如非晶矽、多晶矽(摻雜或純粹)等等各種材料。如圖所示,間隔物114覆蓋虛擬閘極112的側壁,並提供虛擬閘極112上的覆蓋層(如矽氮化物硬遮罩)。間隔物114之間存在間隙。將氧化物116或其他填充材料(如低k值SiOCH)填入此間隙,以隔離虛擬閘極。隨後可例如使用化學機械平坦化製程將此堆疊(基板上之特徵部/層的組合)平坦化。在平坦化之後,可將虛擬閘極112上方的覆蓋層或硬遮罩移除,接著將虛擬閘極112本身移除。可使用具有適當選擇性蝕刻各個目標材料的化學作用之蝕刻製程來執行上述覆蓋層及虛擬閘極移除。舉例而言,可先使用反應性離子蝕刻製程、後接濕蝕刻製程。
圖1B顯示氧化物填入、虛擬閘極移除、及覆蓋層移除後的橫剖面圖。在將虛擬閘極112移除的情況下,可用金屬閘極121取代先前被虛擬閘極所佔據的空間。在沉積金屬閘極之前,可例如藉由原子層沉積(ALD,atomic layer deposition)以將襯墊123沉積於虛擬閘極所在之渠溝,從而塗佈先前為虛擬閘極的渠溝之內側。襯墊123可作為閘極介電層。可取決於所製作之特定裝置而塗佈多數襯墊或保護膜。此襯墊123可為氧化物襯墊,並且於沉積期間可覆蓋在鰭部各處。在襯墊123完全沉積之後,可執行功函數金屬沉積。範例材料可為鈦及鋁的氮化物或碳化物等等。亦可保形地沉積功函數金屬。功函數層的總厚度及組成物可取決於所製作之電晶體類型。可藉由ALD沉積閘極介電層。例如,可用鉿氧化物塗佈此渠溝。圖1C顯示產生金屬閘極121、襯墊123、及任何平坦化步驟之後的橫剖面圖。金屬閘極121可選擇自鎢、鋁等等。金屬閘極121可因此被襯墊123(其可為高介電常數(高k值)閘極介電層)及/或功函數金屬所圍繞。應注意到沉積金屬閘極可能牽涉數個習知圖案化步驟及層(未顯示)之其中任一者。
在已設置金屬閘極121的情況下,可將覆蓋層沉積在金屬閘極上。在一技術中,先使金屬閘極121及高k值閘極介電層產生凹部。圖1D顯示在氧化物116的上方水平面以下產生凹部後的金屬閘極121。在圖1E之中,層134(例如介電材料層)係半保形地沉積在圖1D之結構上方。應注意到層134與結構高度呈部份保形,因為層134的水平面具有對應至下方結構的凹部及凸部。例如,相較於金屬閘極121上方的高度,層134在氧化物116上方突出更高。在層134沉積之後,使基板堆疊平坦化。使層134平坦化的結果係顯示在圖1F之中。於此時,基板堆疊可進行接觸窗蝕刻(如自我對準接觸窗蝕刻)。這可能牽涉數個圖案化步驟及遮罩層(未顯示)。然而,圖1F之結構對於自我對準接觸窗蝕刻步驟而言並不具效果,尤其在縮小的特徵部尺寸之情況下。由於氧化物蝕刻的選擇性並不理想,故當發生圖案重疊或未對準時,會有蝕穿金屬閘極上方之氮化物覆蓋層邊角和造成閘極電極與源極/汲極之間短路的危險。圖1G顯示將接觸窗150填入渠溝或穿孔(其被蝕刻作為接觸窗)的情況,但其亦具有大量邊角蝕刻。應注意到接觸窗150造成金屬閘極121與基板105之間的短路。
因此,執行自我對準接觸窗(SAC)蝕刻的明顯挑戰為使邊角侵蝕降到最低。氮化物覆蓋層能有助於防止與金屬閘極接觸而導致短路。因此,使二金屬之間具有最低品質及厚度的介電層將是有利的。保留盡量多的氮化物邊角提供了更佳的蝕刻停止邊際(etch stop margin)。在更小的特徵部尺寸之情況下,保留邊角變得更為重要。舉例而言,一些覆蓋層可能必須限制在約10奈米,俾能蝕刻高深寬比的特徵部,同時維持/符合對氮化物邊角的選擇性是極具挑戰性的。另一挑戰為間隔物及襯墊材料(用於小特徵部尺寸)可能在相當低溫的電漿輔助化學氣相沉積(CVD,chemical vapor deposition)下進行沉積,但相較於低壓CVD所沉積之氮化物或其他較高品質氮化物,其並不產生具有相當蝕刻抗性的材料。
邊角損耗必須要使閘極導體與接觸窗導體之間能維持一最小距離(例如,最小氮化物厚度)。使邊角損耗降到最低的一些技術包括嘗試使金屬閘極頂部上的覆蓋層變厚。然而,如此之單純使覆蓋層變厚將導致一些問題。例如,藉由使覆蓋層或間隔物厚度/高度大幅增加,則深寬比亦增大。深寬比增大將導致填充處理期間的孔洞。在蝕穿較高深寬比之特徵部的情況下,亦使自我對準接觸窗蝕刻變得困難。其中一相關嘗試為增加襯墊厚度以代替增加覆蓋層高度,但其結果為更寬的介電層,而這亦可能因為氧化物區域的深寬比增大而導致孔洞。使金屬凹得更深以作為增加覆蓋層高度而不使深寬比增大的手段同樣並非選項之一,因為改變金屬高度亦使閘極導電性改變。因此,單純使覆蓋層高度或襯墊厚度增加帶來填充時的孔洞及/或更長的蝕刻時間之挑戰。
圖2A-2F繪示一種根據本文技術之在半導體裝置中形成自我對準接觸窗的方法。在第一步驟中,接收或提供一基板205。基板205具有第一材料組成物之第一結構201及第二材料組成物之第二結構202。第一材料組成物可不同於第二材料組成物。各結構可包括一種以上的材料或層。例如,第二結構202可包括間隔物216和側壁保護層214。此外,第一結構201可包括金屬閘極221和襯墊223。第二結構202係緊鄰第一結構201而形成。第一結構201及第二結構202相對於基板205(從基板205測量起)而具有不同高度。不同的高度使得第一結構201及第二結構202共同定義一第一表面形貌,其上方水平面係非平面。如圖2A中之範例可見般,因為這些結構有高度差,所以存在非平面上表面。圖2A繪示第一及第二結構的交替圖案,但本文之技術可運用在僅有一對相鄰結構的情況。
在一些實施例中,接收基板(其具有第一材料組成物之第一結構及第二材料組成物之第二結構)的步驟可包括製備半導體基板上之閘極裝置的替代金屬閘極(RMG)結構。此RMG結構可具有金屬閘極電極作為第一材料組成物之第一結構,而金屬閘極電極具有側阻障層和接觸該側阻障層的矽氧化物間隔物。矽氧化物間隔物可為第二材料之第二結構。可藉由從最初平坦化的RMG結構之金屬閘極電極中產生凹部,而使金屬閘極電極及矽氧化物間隔物具有不同高度。在一範例中,金屬閘極電極可選擇自鎢。製備半導體基板上之閘極裝置的替代金屬閘極(RMG)結構的步驟可包括製備鰭式場效電晶體(FinFET)的RMG結構。此RMG結構可使用雙重圖案化或側壁影像轉移來形成。在一些實施例中,可接受閘極節距小於約64奈米的基板。
應注意到有許多不同的裝置及電晶體配置可採用本文之技術,包括平面及三維鰭式場效電晶體。因此高度及深寬比可由一特定裝置所指明。例如,在一些鰭式場效電晶體裝置之中,鰭部可為約30 nm,且金屬閘極不應凹至鰭部以下,因而金屬閘極可為約35-50 nm。氮化物覆蓋層可為另外50奈米,且總閘極高度可為約150 nm。應注意到這些皆僅為範例高度。實際高度在某種程度上可由鰭部高度及渠溝中的製作層所規定。
在上述基板堆疊上之非平面表面形貌的情況下,將第一層234沉積在第一表面形貌(如圖2A所示之初始表面形貌)上。此第一層234在其沉積過程中為部份保形,此致使第一層定義一第二表面形貌。圖2B繪示此第二表面形貌的橫剖面圖。應注意到第一層234在第一結構201上方定義一凹谷部261,並且在第二結構201上方形成一高峰部262。由於沉積過程並非完全保形或完全平坦化,故第一層會導致其上表面上具有非平面圖案的上表面。此非平面圖案會隨下方結構而起伏。換言之,將第一層沉積至覆蓋下方結構並保有下方表面形貌的厚度,但直到第一層達到近似平坦上表面為止,即不繼續沉積。第一層可選擇自各種材料(如矽氮化物及碳化矽),並可作為介電覆蓋層。可藉由習知化學氣相沉積(CVD)製程或其他半保形沉積技術來沉積第一層。在RMG結構上沉積介電覆蓋層的步驟可包括沉積矽氮化物的保形層。
因此,在數種介電覆蓋層材料及沉積技術的情況下,介電覆蓋層並非完全保形、亦非完全平坦化,且因此存在產生在介電覆蓋層中的凹部。再者,介電覆蓋層並非在沉積之後立刻進行平坦化,而是本文之技術有效利用其半保形的表面形貌。
在沉積第一層234之後,將第二層244沉積在第一層234上。使第二層沉積足夠的材料(即足夠的厚度),以填滿所定義之凹谷部並覆蓋第二表面形貌的高峰部。可保形地塗佈第二層244,或者半保形地塗佈第二層244。圖2C繪示一範例基板堆疊,而第二層244係沉積在第一層234上方。第二層可選擇自各種材料(如矽氧化物、低k值SiOCH),但選擇與接觸窗層間介電質相同之材料較為有利。
接著,第二層244的上部可向下平坦化至位於第二結構上方的第一層234,以使第一層中的高峰部262露出,而使所定義之凹谷部261保持被第二層244填滿。圖2D顯示第一結構201上方之第一層234上之填有第二層244的凹谷部之一非限制性範例橫剖面。例如,此可為具有氧化物對氮化物選擇性之化學機械平坦化(CMP,chemical mechanical planarization)步驟,其保留氮化物(第一)層上的氧化物凹谷部填充物或填塞物。在其他實施例中,沉積第二層以使第二層填滿所定義之凹谷部,但同時使高峰部露出(至少部份露出)或細薄地覆蓋,使得後續蝕刻能輕易穿破至第一材料層,藉此在第二結構上方繼續蝕刻而不需平坦化步驟。或者,可執行非選擇性乾回蝕,且一旦SiN覆蓋層露出就停止。
在圖2E之中,可接著執行蝕刻步驟,此步驟向下蝕刻第一層234的露出部份,直到到達第一層234下方的第二結構202為止。所定義之凹谷部中的第二層可作為遮罩之功能,其阻止蝕刻第一結構201上方的第一層234(相對於蝕刻第二結構202上方的第一層234)。可選擇蝕刻第一層材料實質上快於第二層材料的物品作為蝕刻化學物品。例如,當執行矽氮化物覆蓋層開口步驟以移除閘極間之氮化物時,氧化物凹谷部填充物保護閘極上方之氮化物覆蓋層,而使較低深寬比的開口或凹部隨後填滿。
使介電覆蓋層之一部份露出(直到向下到達介電覆蓋層下方之矽氧化物間隔物)的步驟可包括使用對矽氮化物更具選擇性(相較於矽氧化物)的蝕刻化學物品。範例蝕刻化學物品可包括對SiN具有選擇性的氧化物蝕刻化學物品,例如:C4F8/Ar/O2或C4F6/Ar/O2。圖2E中之蝕刻步驟可為選擇性的SiN蝕刻(相對於SiO2),其可使用CH3F/Ar/O2或CH2F2/Ar/O2化學物品。
在圖2F之中,可沉積第三層246,其填入第一層234中的間隙並覆蓋第一層234。第三層246可與第二層244為相同材料、並有效延伸第二層244。可以看出,金屬閘極221此刻具有雙層覆蓋層,而其不過度高於金屬閘極。在此雙材料覆蓋層的情況下,可隨後執行自我對準接觸窗蝕刻步驟,其蝕穿第三層246及第二結構202。當進行蝕刻時,可選擇具有第二層材料與第一層材料間之選擇性的化學物品,以使蝕刻步驟不穿透第一層,而是僅限於蝕刻第一層的最小部份。範例蝕刻化學物品可包括氟不足的碳氫化物(CxFy)、稀釋劑(Ar/He/N2)及聚合物控制物(O2、CO、CO2、N2),其可包括使用C4F8/Ar/O2或C4F6/Ar/O2。圖2G顯示使渠溝、孔洞、或其他開口250(其中可製作接觸窗)露出之上述蝕刻步驟的範例結果。本文之方法亦可包括填入具有金屬化接觸窗之經蝕刻的自我對準接觸窗通道。
在先前敘述中,已提出一些具體細節,例如:一處理系統的特定幾何結構、以及其中所使用的各種元件和製程之敘述。然而,應注意到本文之技術可在離開這些具體細節的其他實施例中加以實施,並且這些細節係作為說明之目的而非限制性。於此所揭露之實施例已參照附圖而加以敘述。同樣地,為了說明之目的而提出具體數量、材料、及配置,以提供徹底之瞭解。儘管如此,仍可在不具有如此具體細節的情況下實現這些實施例。實質上具有相同功能結構之元件係以同樣的參考符號表示,因而可能省略任何多餘的敘述。
各個技術內容已描述為多個分離操作,以幫助瞭解各種實施例。描述的順序不應被理解為暗示著這些操作必須依照這些順序。事實上,這些操作並不需依照描述之順序執行。所描述之操作可按不同於所敘述之實施例的順序來執行。在額外的實施例中,可執行各種額外操作且/或可省略所敘述之操作。
如於此所使用之「基板」或「目標基板」一般是指依據本發明所進行處理之物件。基板可包括裝置(尤其是半導體或其他電子裝置)的任何材料部份或結構,並且可例如為一基底基板結構(如半導體晶圓)、或是在基底基板結構上或覆蓋基底基板結構之一層(如一薄膜)。因此,基板並不限於任何特定基底結構(下方層或上方層、圖案化或非圖案化),而是預期包括任何這類的層或基底結構、以及這些層及/或基底結構的任何組合。本說明書可能涉及特定類型的基板,但這只是為了說明之目的。
本領域中具有通常技術者亦將瞭解可對以上說明之技術操作做出許多變化,而同時仍可達到本發明之相同目標。欲使如此之變化涵蓋在本揭露內容的範圍內。因此,上述之本發明實施例敘述並非意欲為限制性。相反地,任何對本發明之實施例的限制係敘述在以下申請專利範圍中。
105‧‧‧基板
112‧‧‧虛擬閘極
114‧‧‧間隔物
116‧‧‧氧化物
121‧‧‧金屬閘極
123‧‧‧襯墊
134‧‧‧層
150‧‧‧接觸窗
201‧‧‧第一結構
202‧‧‧第二結構
205‧‧‧基板
214‧‧‧側壁保護層
216‧‧‧間隔物
221‧‧‧金屬閘極
223‧‧‧襯墊
234‧‧‧第一層
244‧‧‧第二層
246‧‧‧第三層
250‧‧‧開口
261‧‧‧凹谷部
262‧‧‧高峰部
在參照以下配合附圖之詳細描述後,本發明之各種實施例及許多伴隨其之優點的更完整理解將立刻變得顯而易見。這些圖式並不一定按照比例繪製,而是要強調說明其特徵、原理、及概念。
圖1A顯示使用自我對準接觸窗製程的半導體裝置製作之順序步驟的橫剖面圖。
圖1B顯示使用自我對準接觸窗製程的半導體裝置製作之順序步驟的橫剖面圖。
圖1C顯示使用自我對準接觸窗製程的半導體裝置製作之順序步驟的橫剖面圖。
圖1D顯示使用自我對準接觸窗製程的半導體裝置製作之順序步驟的橫剖面圖。
圖1E顯示使用自我對準接觸窗製程的半導體裝置製作之順序步驟的橫剖面圖。
圖1F顯示使用自我對準接觸窗製程的半導體裝置製作之順序步驟的橫剖面圖。
圖1G顯示使用自我對準接觸窗製程的半導體裝置製作之順序步驟的橫剖面圖。
圖2A顯示使用自我對準接觸窗製程的半導體裝置製作之順序步驟的橫剖面圖。
圖2B顯示使用自我對準接觸窗製程的半導體裝置製作之順序步驟的橫剖面圖。
圖2C顯示使用自我對準接觸窗製程的半導體裝置製作之順序步驟的橫剖面圖。
圖2D顯示使用自我對準接觸窗製程的半導體裝置製作之順序步驟的橫剖面圖。
圖2E顯示使用自我對準接觸窗製程的半導體裝置製作之順序步驟的橫剖面圖。
圖2F顯示使用自我對準接觸窗製程的半導體裝置製作之順序步驟的橫剖面圖。
圖2G顯示使用自我對準接觸窗製程的半導體裝置製作之順序步驟的橫剖面圖。
205‧‧‧基板
221‧‧‧金屬閘極
223‧‧‧襯墊
234‧‧‧第一層
244‧‧‧第二層

Claims (13)

  1. 一種形成半導體裝置中之自我對準接觸窗的方法,該方法包含:  接收具有第一材料組成物之第一結構及第二材料組成物之第二結構的基板,該第二結構係緊鄰該第一結構而形成,該第一結構及該第二結構具有不同的高度,以使該第一結構及該第二結構共同定義一第一表面形貌,而該第一表面形貌的上表面為非平面; 在該第一表面形貌上沉積第一層,且該第一層係部份保形而導致該第一層定義一第二表面形貌,而該第一層定義該第一結構上方之凹谷部、並且在該第二結構上方形成高峰部; 在該第一層上沉積第二層,且該第二層的厚度足以填滿所定義之該凹谷部並覆蓋該第二表面形貌之該高峰部; 使該第二層向下平坦化至該第二結構上方的該第一層,以使該第一層中的該高峰部露出,而使所定義之該凹谷部保持被該第二層填滿;及 向下蝕刻該第一層的露出部份,直到到達該第一層下方的該第二結構為止,所定義之該凹谷部中之該第二層係作為硬遮罩的功能,相對於蝕刻該第二結構上方的該第一層,其阻止蝕刻該第一結構上方的該第一層。
  2. 如申請專利範圍第1項之形成半導體裝置中之自我對準接觸窗的方法,更包含: 沉積第三層,其填滿該第一層中的間隙並覆蓋該第一層。
  3. 如申請專利範圍第2項之形成半導體裝置中之自我對準接觸窗的方法,更包含: 執行自我對準接觸窗蝕刻製程,其蝕穿該第三層及該第二結構。
  4. 如申請專利範圍第3項之形成半導體裝置中之自我對準接觸窗的方法,其中接收具有第一材料組成物之第一結構及第二材料組成物之第二結構的該基板之步驟包括:製備半導體基板上之閘極裝置的一替代金屬閘極(RMG,replacement metal gate)結構,該RMG結構具有該第一材料組成物之該第一結構的金屬閘極電極,該金屬閘極電極具有側阻障層和接觸該側阻障層的矽氧化物間隔物,該矽氧化物間隔物係該第二材料之該第二結構,其中使該金屬閘極電極及該矽氧化物間隔物具有不同的高度包括:由最初平坦化的RMG結構之該金屬閘極電極中產生凹部。
  5. 如申請專利範圍第4項之形成半導體裝置中之自我對準接觸窗的方法,其中該第一層為介電覆蓋層,且其中該第二層及該第三層為矽氧化物層。
  6. 如申請專利範圍第5項之形成半導體裝置中之自我對準接觸窗的方法,其中閘極節距為64 nm或更小。
  7. 如申請專利範圍第5項之形成半導體裝置中之自我對準接觸窗的方法,其中該金屬閘極電極為鎢插塞。
  8. 如申請專利範圍第5項之形成半導體裝置中之自我對準接觸窗的方法,其中製備該半導體基板上之該閘極裝置的該替代金屬閘極(RMG)結構之步驟包括:製備鰭式場效電晶體(FinFET,fin field effect transistor)的該RMG結構。
  9. 如申請專利範圍第4項之形成半導體裝置中之自我對準接觸窗的方法,其中該RMG結構係藉由利用雙重圖案化或側壁影像轉移而形成。
  10. 如申請專利範圍第5項之形成半導體裝置中之自我對準接觸窗的方法,其中沉積該RMG結構上之該介電覆蓋層之步驟包括:沉積矽氮化物的保形層。
  11. 如申請專利範圍第10項之形成半導體裝置中之自我對準接觸窗的方法,其中向下蝕刻該介電覆蓋層的露出部份直到到達該介電覆蓋層下方的該矽氧化物間隔物為止之步驟包括:使用相較於矽氧化物而對矽氮化物更具選擇性的蝕刻化學物品。
  12. 如申請專利範圍第3項之形成半導體裝置中之自我對準接觸窗的方法,更包含:使用金屬化接觸窗填充經蝕刻之自我對準接觸窗通道。
  13. 一種形成半導體裝置中之自我對準接觸窗的方法,該方法包含:  接收具有第一材料組成物之第一結構及第二材料組成物之第二結構的基板,該第二結構係緊鄰該第一結構而形成,該第一結構及該第二結構具有不同的高度,以使該第一結構及該第二結構共同定義一第一表面形貌,而該第一表面形貌的上表面為非平面;    在該第一表面形貌上沉積第一層,該第一層係部份保形而導致該第一層定義一第二表面形貌,且該第一層定義該第一結構上方之凹谷部、並且在該第二結構上方形成高峰部;    在該第一層上沉積第二層,且該第二層填滿所定義之該凹谷部;及    向下蝕刻該第一層的部份,直到到達該第一層下方的該第二結構為止,所定義之該凹谷部中之該第二層係作為硬遮罩的功能,相對於蝕刻該第二結構上方的該第一層,其阻止蝕刻該第一結構上方的該第一層。
TW104107495A 2014-03-11 2015-03-10 半導體裝置中使用替代金屬閘程序以形成自我對準接觸窗之方法 TWI533407B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/203,838 US9257529B2 (en) 2014-03-11 2014-03-11 Method of forming self-aligned contacts using a replacement metal gate process in a semiconductor device

Publications (2)

Publication Number Publication Date
TW201546961A true TW201546961A (zh) 2015-12-16
TWI533407B TWI533407B (zh) 2016-05-11

Family

ID=54069830

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104107495A TWI533407B (zh) 2014-03-11 2015-03-10 半導體裝置中使用替代金屬閘程序以形成自我對準接觸窗之方法

Country Status (3)

Country Link
US (1) US9257529B2 (zh)
KR (1) KR101699154B1 (zh)
TW (1) TWI533407B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9748389B1 (en) 2016-03-25 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain epitaxy
US10332744B2 (en) 2016-04-29 2019-06-25 Tokyo Electron Limited Method for patterning a substrate using a layer with multiple materials

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324830B2 (en) 2014-03-27 2016-04-26 International Business Machines Corporation Self-aligned contact process enabled by low temperature
US9425097B1 (en) * 2015-04-29 2016-08-23 Globalfoundries Inc. Cut first alternative for 2D self-aligned via
WO2017111803A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Techniques for forming electrically conductive features with improved alignment and capacitance reduction
WO2017111847A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Techniques for forming electrically conductive features with improved alignment and capacitance reduction
KR102573407B1 (ko) 2016-08-24 2023-08-30 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9704754B1 (en) * 2016-09-22 2017-07-11 International Business Machines Corporation Self-aligned spacer for cut-last transistor fabrication
US10622352B2 (en) 2017-01-25 2020-04-14 International Business Machines Corporation Fin cut to prevent replacement gate collapse on STI
US10217839B2 (en) 2017-03-24 2019-02-26 Globalfoundries Inc. Field effect transistor (FET) with a gate having a recessed work function metal layer and method of forming the FET
US10008385B1 (en) 2017-06-02 2018-06-26 Globalfoundries Inc. Enlarged sacrificial gate caps for forming self-aligned contacts
US10242882B2 (en) 2017-06-12 2019-03-26 International Business Machines Corporation Cyclic etch process to remove dummy gate oxide layer for fin field effect transistor fabrication
US10199271B1 (en) * 2017-09-01 2019-02-05 Globalfoundries Inc. Self-aligned metal wire on contact structure and method for forming same
US10504782B2 (en) 2017-09-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin Field-Effect Transistor device and method of forming the same
EP3480842A1 (en) 2017-11-02 2019-05-08 IMEC vzw Method for forming source/drain contacts
US10249533B1 (en) 2018-04-12 2019-04-02 International Business Machines Corporation Method and structure for forming a replacement contact
US10418272B1 (en) 2018-05-10 2019-09-17 Globalfoundries Inc. Methods, apparatus, and system for a semiconductor device comprising gates with short heights
US10685840B2 (en) 2018-11-16 2020-06-16 Globalfoundries Inc. Gate structures
US10892164B2 (en) 2019-04-16 2021-01-12 International Business Machines Corporation Dual hard mask replacement gate
US11189531B2 (en) 2019-08-23 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
US11211462B2 (en) 2020-03-05 2021-12-28 International Business Machines Corporation Using selectively formed cap layers to form self-aligned contacts to source/drain regions
US11482454B2 (en) * 2021-02-17 2022-10-25 Tokyo Electron Limited Methods for forming self-aligned contacts using spin-on silicon carbide
CN117878095A (zh) * 2022-09-30 2024-04-12 长鑫存储技术有限公司 半导体结构及其制备方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5792703A (en) 1996-03-20 1998-08-11 International Business Machines Corporation Self-aligned contact wiring process for SI devices
KR100245271B1 (ko) 1997-10-01 2000-02-15 윤종용 반도체 장치 및 그의 제조 방법
US6268281B1 (en) 1999-11-15 2001-07-31 Taiwan Semiconductor Manufacturing Company Method to form self-aligned contacts with polysilicon plugs
US6686247B1 (en) 2002-08-22 2004-02-03 Intel Corporation Self-aligned contacts to gates
US7897499B2 (en) 2006-02-24 2011-03-01 Hynix Semiconductor Inc. Method for fabricating a semiconductor device with self-aligned contact
US7888220B2 (en) 2008-06-26 2011-02-15 Intel Corporation Self-aligned insulating etchstop layer on a metal contact
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8883623B2 (en) * 2012-10-18 2014-11-11 Globalfoundries Inc. Facilitating gate height uniformity and inter-layer dielectric protection

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9748389B1 (en) 2016-03-25 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain epitaxy
TWI643344B (zh) * 2016-03-25 2018-12-01 台灣積體電路製造股份有限公司 半導體裝置及其製作方法
US10158017B2 (en) 2016-03-25 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for semiconductor device fabrication with improved source drain epitaxy
US10629736B2 (en) 2016-03-25 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for semiconductor device fabrication with improved source drain epitaxy
US11031498B2 (en) 2016-03-25 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with improved source drain epitaxy
US11710792B2 (en) 2016-03-25 2023-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with improved source drain epitaxy
US10332744B2 (en) 2016-04-29 2019-06-25 Tokyo Electron Limited Method for patterning a substrate using a layer with multiple materials
TWI665715B (zh) * 2016-04-29 2019-07-11 東京威力科創股份有限公司 使用具有多種材料之一層的基板圖案化方法

Also Published As

Publication number Publication date
KR20150106376A (ko) 2015-09-21
US9257529B2 (en) 2016-02-09
KR101699154B1 (ko) 2017-01-23
TWI533407B (zh) 2016-05-11
US20150263131A1 (en) 2015-09-17

Similar Documents

Publication Publication Date Title
TWI533407B (zh) 半導體裝置中使用替代金屬閘程序以形成自我對準接觸窗之方法
TWI767123B (zh) 整合背側電源網格的半導體裝置及其相關的積體電路與製造方法
TWI689043B (zh) 電晶體及其製造方法
TWI525715B (zh) 積體電路及製造具有金屬閘極電極之積體電路之方法
TWI719615B (zh) 半導體裝置的形成方法
US9324709B2 (en) Self-aligned gate contact structure
US20180158729A1 (en) Finfet device and method of forming the same
JP2004064083A (ja) 自己整列した接合領域コンタクトホールを有する半導体装置及びその製造方法
US10008409B2 (en) Method for fabricating a semiconductor device
US10283641B2 (en) Contact structures, FinFET devices and methods of forming the same
US11062945B2 (en) Methods for reducing contact depth variation in semiconductor fabrication
JP2012175111A (ja) 半導体素子及びその形成方法
US10373875B1 (en) Contacts formed with self-aligned cuts
US9543211B1 (en) Semiconductor structure and manufacturing method thereof
KR100668838B1 (ko) 반도체 소자의 게이트 형성방법
TW202109680A (zh) 半導體裝置及其形成方法
JP2020013997A (ja) 半導体装置
US9653364B1 (en) FinFET device and method of forming the same
TWI670770B (zh) 用於形成自對準接觸物的擴大犧牲閘極覆蓋物
JP2013045953A (ja) 半導体装置およびその製造方法
US20160020144A1 (en) Method for fabricating semiconductor device
TW202015174A (zh) 半導體裝置的形成方法
US9627537B1 (en) FinFET device and method of forming the same
TWI805214B (zh) 半導體結構及其形成方法
JP5924198B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees