JP2020013997A - 半導体装置 - Google Patents

半導体装置 Download PDF

Info

Publication number
JP2020013997A
JP2020013997A JP2019129363A JP2019129363A JP2020013997A JP 2020013997 A JP2020013997 A JP 2020013997A JP 2019129363 A JP2019129363 A JP 2019129363A JP 2019129363 A JP2019129363 A JP 2019129363A JP 2020013997 A JP2020013997 A JP 2020013997A
Authority
JP
Japan
Prior art keywords
pattern
semiconductor device
cap
gate electrode
filling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019129363A
Other languages
English (en)
Other versions
JP7394550B2 (ja
Inventor
▲きょん▼泰 李
Kyung-Tae Lee
▲きょん▼泰 李
承勳 崔
Seung-Hoon Choi
承勳 崔
▲みん▼燦 郭
Min-Chan Gwak
▲みん▼燦 郭
滋應 具
Ja-Eung Koo
滋應 具
尚鉉 朴
Sang-Hyun Park
尚鉉 朴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2020013997A publication Critical patent/JP2020013997A/ja
Application granted granted Critical
Publication of JP7394550B2 publication Critical patent/JP7394550B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

【課題】優れた特性を有する半導体装置を提供する。【解決手段】半導体装置は、第1の領域I及び第2の領域IIを含む基板100の第1の領域上に形成されたゲート電極構造物270と、ゲート電極構造物の上面を覆い、キャップパターン315と、キャップパターンの底面及び側壁を覆う第1のエッチング阻止パターン305とを備えるキャップ構造物335と、基板の第2の領域上に形成され、絶縁物質を含むアラインキー215と、基板の第2の領域上に形成され、アラインキーの側壁を覆い、第1の充填パターン325と、第1の充填パターンの底面及び側壁を覆う第2の充填パターン317と、第2の充填パターンの底面及び側壁を覆う第2のエッチング阻止パターン307とを備える充填構造物340と、を含む。【選択図】図20

Description

本発明は、半導体装置に関する。より詳しくは、本発明は、導電パターン上を覆うキャップ膜を含む半導体装置に関する。
半導体装置の製造において、絶縁膜をパターニングして、アラインキーを形成する場合、後続工程で前記アラインキーの高さを維持するのが重要である。ところが、例えば、後続の研磨工程で、前記アラインキーの殆どが除去されて、元々の高さが維持されないことがあり、アラインキーの役割を果たせないことがあった。
本発明の課題は、優れた特性を有する半導体装置を提供することにある。
前記本発明の課題を達成するための実施例による半導体装置は、第1及び第2の領域を含む基板の前記第1の領域上に形成されたゲート電極構造物と;前記ゲート電極構造物の上面を覆い、キャップパターンと、前記キャップパターンの底面及び側壁を覆う第1のエッチング阻止パターンとを備えるキャップ構造物と;前記基板の第2の領域上に形成され、絶縁物質を含むアラインキーと;前記基板の第2の領域上に形成され、前記アラインキーの側壁を覆い、第1の充填パターンと、前記第1の充填パターンの底面及び側壁を覆う第2の充填パターンと、前記第2の充填パターンの底面及び側壁を覆う第2のエッチング阻止パターンとを備える充填構造物と;を含む。
また、前記本発明の課題を達成するための他の実施例による半導体装置は、第1及び第2の領域を含む基板の前記第1の領域上に形成された導電構造物と;前記導電構造物の上面を覆い、シリコン酸炭化物(SiOC)を有するキャップパターンと、前記キャップパターンの底面及び側壁を覆い、前記キャップパターンに対して、第1のエッチング選択比を有する物質を含む第1のエッチング阻止パターンとを備えるキャップ構造物と;前記基板の第2の領域上に形成され、前記キャップパターンに対して、前記第1のエッチング選択比よりも低い第2のエッチング選択比を有する物質を備えるアラインキーと;前記基板の第2の領域上に形成され、前記アラインキーの側壁を覆い、第1の充填パターンと、前記第1の充填パターンの底面及び側壁を覆い、前記キャップパターンと同一の物質を有する第2の充填パターンと、前記第2の充填パターンの底面及び側壁を覆い、前記第1のエッチング阻止パターンと同一の物質を含む第2のエッチング阻止パターンとを備える充填構造物と;を含む。
更に、前記本発明の課題を達成するための他の実施例による半導体装置は、 基板上に形成された素子分離パターンにより、前記基板上で定義されるアクティブ領域と;前記アクティブ領域及び前記素子分離パターン上に形成されたゲート電極構造物と;前記ゲート電極構造物の上面を覆い、シリコン酸炭化物(SiOC)を含むキャップパターンと、前記キャップパターンの底面及び側壁を覆い、シリコン窒化物(SiN)、シリコン酸窒化物(SiON)、又はシリコン炭窒化物(SiCN)を含むエッチング阻止パターンを備えるキャップ構造物と;前記ゲート電極構造物に隣接する前記アクティブ領域上に形成されたソース/ドレイン層と;を含む。
本発明による半導体装置製造方法で用いられるアラインキーは、CMP工程などによっては除去されず、元々の高さを維持することができ、これによって、前記半導体装置は、当初所望のサイズ及び形状を有する素子を確保することができる。
但し、本発明の効果は、上述した効果に限定されるものではなく、本発明の思想及び領域から逸脱しない範囲で様々に拡張される。
図1は、本発明の実施例による半導体装置の製造方法のステップを説明するための平面図である。 図2は、本発明の実施例による半導体装置の製造方法のステップを説明するための断面図である。 図3は、本発明の実施例による半導体装置の製造方法のステップを説明するための断面図である。 図4は、本発明の実施例による半導体装置の製造方法のステップを説明するための平面図である。 図5は、本発明の実施例による半導体装置の製造方法のステップを説明するための断面図である。 図6は、本発明の実施例による半導体装置の製造方法のステップを説明するための断面図である。 図7は、本発明の実施例による半導体装置の製造方法のステップを説明するための平面図である。 図8は、本発明の実施例による半導体装置の製造方法のステップを説明するための断面図である。 図9は、本発明の実施例による半導体装置の製造方法のステップを説明するための断面図である。 図10は、本発明の実施例による半導体装置の製造方法のステップを説明するための平面図である。 図11は、本発明の実施例による半導体装置の製造方法のステップを説明するための断面図である。 図12は、本発明の実施例による半導体装置の製造方法のステップを説明するための平面図である。 図13は、本発明の実施例による半導体装置の製造方法のステップを説明するための平面図である。 図14は、本発明の実施例による半導体装置の製造方法のステップを説明するための断面図である。 図15は、本発明の実施例による半導体装置の製造方法のステップを説明するための断面図である。 図16は、本発明の実施例による半導体装置の製造方法のステップを説明するための断面図である。 図17は、本発明の実施例による半導体装置の製造方法のステップを説明するための断面図である。 図18は、本発明の実施例による半導体装置の製造方法のステップを説明するための断面図である。 図19は、本発明の実施例による半導体装置の製造方法のステップを説明するための断面図である。 図20は、本発明の実施例による半導体装置の製造方法のステップを説明するための断面図である。 図21は、本発明の実施例による半導体装置の製造方法のステップを説明するための平面図である。 図22は、本発明の実施例による半導体装置の製造方法のステップを説明するための平面図である。 図23は、本発明の実施例による半導体装置の製造方法のステップを説明するための平面図である。 図24は、本発明の実施例による半導体装置の製造方法のステップを説明するための平面図である。 図25は、本発明の実施例による半導体装置の製造方法のステップを説明するための平面図である。
以下、添付の図面を参照して、本発明の好適な実施例について詳細に説明する。
実施例
図1乃至図20は、本発明の実施例による半導体装置の製造方法のステップを説明するための平面図及び断面図である。具体的に、図1、4、7、10、及び13は平面図であり、図2−3、5−6、8−9、11−12、及び14−20は、断面図である。
ここで、図2、8、11、及び14は、対応する各平面図のA−A’線に沿った断面図であり、図3、5、9、12及び15−20は、対応する各平面図のB-B’線に沿った断面図であり、図6は、対応する平面図のC−C’線に沿った断面図である。
図1乃至図3に示すように、第1及び第2領域(I、II)を含む基板100の上部を部分的にエッチングして、アクティブフィン105を形成する。これによって、アクティブフィン105は、基板100の上面に対して垂直な垂直方向に突出し、基板100と実質的に同一の物質を含むことができる。
基板100は、シリコン、ゲルマニウム、シリコン-ゲルマニウム、又は、GaP、GaAs、GaSbなどのようなIII-V族化合物を含む。また、基板100は、シリコンオンインシュレータ(Silicon-On-Insulator: SOI)基板、又はゲルマニウムオンインシュレータ (Germanium-On-Insulator: GOI)基板である。
本発明の実施例において、基板100の第1領域(I)は、素子が形成されるチップ領域であり、基板100の第2領域(II)は、前記チップ領域を取り囲むスクライブレーン領域である。しかし、基板100の第1及び第2領域(I、II)がいずれも、素子が形成されるチップ領域の一部であってもよい。
本発明の実施例において、第1のエッチングマスク(図示せず)を用いて、基板100の上部をエッチングすることによって、アクティブフィン105を形成する。アクティブフィン105は、基板100の上面に平行な第1の方向に延在され、基板100の上面に平行で、前記第1の方向と交差する第2の方向に沿って複数個で形成される。また、前記第1及び第2の方向は、互いに直交している。
前記第2の方向に沿って形成されたアクティブフィン105の間には、第1のリセス110が形成される。すなわち、第1のリセス110により、アクティブフィン105は、前記第2の方向に互いに離隔している。
以後、第2のエッチングマスク(図示せず)を用いて、アクティブフィン105の一部をエッチングすることによって、これらを除去する。ここで、除去されるアクティブフィン105の下部の基板100も、部分的に除去される。本発明の実施例においては、基板100の第1の領域(I)内に形成されたアクティブフィン105の一部と、基板100の第2の領域(II)内に形成されたアクティブフィン105とが除去される。
以後、第1のリセス110を充填し、残留するアクティブフィン105を覆う素子分離膜を、基板100上に形成した後で、各アクティブフィン105の上部が露出するように、前記素子分離膜を除去することによって、基板100の第1の領域(I)上において、第1のリセス110の下部を充填し、基板100の第2の領域(II)を覆う素子分離パターン120を形成する。
前記素子分離膜は、例えば、シリコン酸化物のような酸化物を含む。本発明の実施例において、前記素子分離膜の上部は、化学機械的研磨(Chemical Mechanical Polishing:CMP)工程、及びエッチバック工程により除去される。
素子分離パターン120が形成されることによって、アクティブフィン105は、素子分離パターン120により、側壁が取り囲まれた下部アクティブパターン105bと、前記垂直方向に沿って、素子分離パターン120の上面に突出した上部アクティブパターン105aとを含む。
図4乃至図6に示すように、アクティブフィン105及び素子分離パターン120上に、ダミーゲート構造物160を形成する。
ダミーゲート構造物160は、アクティブフィン105及び素子分離パターン120上に、ダミーゲート絶縁膜、ダミーゲート電極膜、及びダミーゲートマスク膜を順次に形成し、前記ダミーゲートマスク膜をパターニングして、ダミーゲートマスク150を形成した後で、これをエッチングマスクとして用いて、前記ダミーゲート電極膜、及び前記ダミーゲート絶縁膜を順次にエッチングすることによって形成することができる。
これによって、基板100上には、順次に積層されたダミーゲート絶縁パターン130と、ダミーゲート電極140と、ダミーゲートマスク150とを含むダミーゲート構造物160が形成される。
前記ダミーゲート絶縁膜は、例えば、シリコン酸化物のような酸化物を含み、前記ダミーゲート電極膜は、例えば、ポリシリコンを含み、前記ダミーゲートマスク膜は、例えば、シリコン窒化物のような窒化物を含むことができる。
前記ダミーゲート絶縁膜は、化学気相蒸着(Chemical Vapor Deposition: CVD)工程、原子層蒸着(Atomic Layer Deposition: ALD)工程などにより形成される。これとは異なり、前記ダミーゲート絶縁膜は、アクティブフィン105の上部アクティブパターン105aに対する熱酸化工程によって形成され、この場合、前記ダミーゲート絶縁膜は、上部アクティブパターン105aの上面にのみ形成される。一方、前記ダミーゲート電極膜及び前記ダミーゲートマスク膜も、化学気相蒸着(CVD)工程、原子層蒸着(ALD)工程によって形成される。
本発明の実施例において、ダミーゲート構造物160は、基板100の第1の領域(I)内から前記第2の方向に延在し、前記第1の方向に沿って、複数個で形成される。
図7乃至図9に示すように、アクティブフィン105及び素子分離パターン120上に、ダミーゲート構造物160を覆うスペーサ膜を形成した後、これを異方性エッチングすることによって、ダミーゲート構造物160の前記第1の方向への両側壁上にそれぞれ、ゲートスペーサ170を形成する。この時、上部アクティブパターン105aの前記第2の方向への両側壁上にはそれぞれ、フィンスペーサ180が形成される。
前記スペーサ膜は、例えば、シリコン窒化物のような窒化物を含む。一つの実施例において、前記スペーサ膜は、窒化物及び/又は酸化物をそれぞれ含む複数の層が積層された構造を有することができる。
以後、ゲートスペーサ170に隣接したアクティブフィン105の上部をエッチングして、第2のリセス190を形成する。
図面においては、アクティブフィン105のうち、上部アクティブパターン105aの一部だけがエッチングされ、第2のリセス190が形成されることによって、第2のリセス190の底面が、下部アクティブパターン105bの上面よりも高く示されているが、本発明の概念は、これに限定されるものではない。すなわち、第2のリセス190は、上部アクティブパターン105aだけでなく、下部アクティブパターン105bの一部も共にエッチングして形成され、これによって、第2のリセス190の底面の高さが、第2のリセス190が形成されていない下部アクティブパターン105bの高さよりも低いこともある。
一方、第2のリセス190の形成に際して、上部アクティブパターン105aの前記第2の方向への両側壁上にそれぞれ形成されたフィンスペーサ180も、部分的に除去されて、一部が残留するか、完全に除去される。
本発明の実施例において、第2のリセス190を形成するエッチング工程は、ゲートスペーサ170及びフィンスペーサ180を形成するエッチング工程と原位置(in−situ)で行うことができる。
図10乃至図12に示すように、第2のリセス190を充填するソース/ドレイン層200を形成する。
本発明の実施例において、ソース/ドレイン層200は、第2のリセス190によって露出したアクティブフィン105の上面に、シードとして用いる選択エピタキシャル成長(Selective Epitaxial Growth: SEG)工程を行うことによって形成される。
前記選択エピタキシャル成長(SEG)工程は、シリコンソースガス、ゲルマニウムソースガス、エッチングガス、及びキャリアガスを供給して行い、これにより、ソース/ドレイン層200として、単結晶のシリコン-ゲルマニウム層が形成される。また、前記選択エピタキシャル成長(SEG)工程は、p型不純物ソースガスを共に使用することができ、これによって、ソース/ドレイン層200として、p型不純物がドープされた単結晶シリコン-ゲルマニウム層が形成される。
これとは異なり、前記選択エピタキシャル成長(SEG)工程は、シリコンソースガス、炭素ソースガス、エッチングガス、及びキャリアガスを用いて行うことができ、これにより、ソース/ドレイン層200として、単結晶シリコン炭化物層が形成される。また、前記選択エピタキシャル成長(SEG)工程は、n型不純物ソースガスを共に使用することができ、これにより、ソース/ドレイン層200として、n型不純物がドープされた単結晶シリコン炭化物層が形成される。あるいは、選択エピタキシャル成長(SEG)工程は、シリコンソースガス、エッチングガス、及びキャリアガスを用いて行うことができ、これにより、ソース/ドレイン層200として、単結晶シリコン層が形成される。この場合でも、n型不純物ソースガスを共に用いて、n型不純物がドープされた単結晶シリコン層が形成される。
ソース/ドレイン層200は、垂直方向のみならず、水平方向にも成長して、第2のリセス190を充填し、上部が、ゲートスペーサ170の側壁に接触する。本発明の実施例において、ソース/ドレイン層200は、前記第2の方向に沿った断面が、五角形状に類似した形状を有する。
前記第2の方向に互いに隣り合うアクティブフィン105間の距離が小さい場合、各アクティブフィン105上に成長する各ソース/ドレイン層200は、互いに連結併合される。図面上には、前記第2の方向に互いに隣り合う2つのアクティブフィン105の上部にそれぞれ成長した2つのソース/ドレイン層200が互いに併合していることが示されているが、本発明の概念は、これに限定されるものではなく、任意の複数のソース/ドレイン層200が互いに併合されてもよい。
以後、ダミーゲート構造物160、ゲートスペーサ170、フィンスペーサ180、及びソース/ドレイン層200を覆う第1の層間絶縁膜210を、アクティブフィン105及び素子分離パターン120上に、十分な高さで形成した後、ダミーゲート構造物160に含まれるダミーゲート電極140の上面が露出するまで、第1の層間絶縁膜210を平坦化する。この時、ダミーゲートマスク150も共に除去される。
一方、互いに併合されたソース/ドレイン層200と素子分離パターン120の間には、第1の層間絶縁膜210が完全に充填していないことがあり、これによって、エアギャップ220が形成される。
第1の層間絶縁膜210は、例えば、トーズ(TOSZ)のようなシリコン酸化物を含む。これとは異なり、第1の層間絶縁膜210は、シリコン酸化物の誘電定数よりも低い誘電定数、すなわち、約3.9以下の誘電定数を有する低誘電物質を含んでもよい。すなわち、第1の層間絶縁膜210は、フッ素又は炭素がドープされたシリコン酸化物、多孔性シリコン酸化物、スピンオン有機ポリマー、HSSQ(Hydrogen Silsesquioxane)、MSSQ(Methyl Silsesquioxane)などのような無機ポリマーを含む。あるいは、第1の層間絶縁膜210は、シリコン酸化物を含む第1の膜、及び低誘電物質を含む第2の膜が順次に積層された構造を有する。一方、前記平坦化工程は、化学機械的研磨(CMP)工程、及び/又はエッチバック工程により行われる。
図13乃至図15に示すように、露出したダミーゲート電極140、及びその下部のダミーゲート絶縁パターン130を除去して、ゲートスペーサ170の内側壁及びアクティブフィン105の上面を露出させる第1の開口を形成した後で、前記第1の開口を充填するゲート電極構造物270を形成する。
本発明の実施例において、ダミーゲート電極140及びその下部のダミーゲート絶縁パターン130は、ドライエッチング工程及びウェットエッチング工程で除去され、前記ドライエッチング工程は、例えばフッ酸(HF)を用いて行われる。
ゲート電極構造物270は、前記第1の開口によって露出したアクティブフィン105の上面に対する熱酸化工程を行って、インタフェースパターン230を形成した後でインタフェースパターン230、素子分離パターン120、ゲートスペーサ170、及び第1の層間絶縁膜210上に、ゲート絶縁膜及び仕事関数調節膜を順次に形成して、前記第1の開口の残りの部分を十分充填するゲート電極膜を、前記仕事関数調節膜上に形成する。
前記仕事関数調節膜及び前記ゲート電極膜は、化学気相蒸着(CVD)工程、原子層蒸着(ALD)工程、物理気相蒸着(PVD)工程などにより形成する。以後、前記ゲート電極膜に対して、急速熱処理(Rapid Thermal Annealing:RTA)工程、スパイクRTA(spike-RTA)工程、フラッシュRTA工程、又はレーザアニリング(laser annealing)工程などのような熱処理工程を、更に行うことができる。
一方、インタフェースパターン230は、前記ゲート絶縁膜又は前記ゲート電極膜と同様に、熱酸化工程の代わりに、化学気相蒸着(CVD)工程又は原子層蒸着(ALD)工程などによって形成され、この場合、インタフェースパターン230は、アクティブフィン105の上面だけでなく、基板100の第1の領域(I)上の素子分離パターン120の上面、及びゲートスペーサ170の内側壁上にも形成される。これとは異なり、インタフェースパターン230は、形成されないこともある。
以後、第1の層間絶縁膜210の上面が露出するまで、前記ゲート電極膜、前記仕事関数調節膜、及び前記ゲート絶縁膜を平坦化して、インタフェースパターン230の上面、素子分離パターン120の上面、及びゲートスペーサ170の内側壁上に順次に積層されたゲート絶縁パターン240、及び仕事関数調節パターン250を形成し、仕事関数調節パターン250上に、前記第1の開口の残りの部分を充填するゲート電極260を形成する。
順次に積層されたインタフェースパターン230、ゲート絶縁パターン240、仕事関数調節パターン250、及びゲート電極260は、ゲート電極構造物270を形成し、ソース/ドレイン層200と共に、トランジスタを形成する。前記トランジスタは、ソース/ドレイン層200の導電型によって、PMOSトランジスタ、又はNMOSトランジスタを形成する。
図16に示すように、ゲート電極構造物270及びゲートスペーサ170の上部を除去して、第3のリセス280を形成し、基板100の第2の領域(II)上の素子分離パターン120を部分的に除去して、素子分離パターン120の上面を露出させる第2の開口285を形成する。
これによって、基板100の第2の領域(II)上、すなわち、第2の開口285が形成されるときに除去されていない素子分離パターン120上には、アラインキー215が形成される。
本発明の実施例において、第3のリセス280及び第2の開口285は、同一のエッチング工程、例えば、ドライエッチング工程で同時に形成される。これとは異なり、第3のリセス280及び第2の開口285は、互いに異なるエッチング工程で順次に形成されてもよい。
本発明の実施例において、第3のリセス280の第1の幅(W1)は、第2の開口285の第2の幅(W2)よりも小さい。
図17に示すように、第3のリセス280及び第2の開口285の底面及び側壁、第1の層間絶縁膜210及びアラインキー215の上面上に、エッチング阻止膜300を形成し、エッチング阻止膜300上に、第1の絶縁膜310及び第2の絶縁膜320を順次に積層する。
本発明の実施例において、エッチング阻止膜300は、第3のリセス280及び第2の開口285の底面及び側壁上にコンフォーマルに形成され、第1の絶縁膜310は、第3のリセス280を充填し、第2の開口285は部分的に充填するように、その底面及び側壁上に形成され、第2の絶縁膜320は、第2の開口285の残りの部分を充填する。
第1の絶縁膜310は、絶縁性が高く、エッチング率の低い物質、例えば、シリコン酸炭化物(SiOC)を含む。シリコン酸炭化物(SiOC)は、疎水性が強いため、後続の研磨工程で研磨され難いことがある。これによって、シリコン酸炭化物(SiOC)を含む第1の絶縁膜310は、大きい厚さで形成されてはいないので、相対的に大きい幅を有する第2の開口285を完全に充填せず、部分的にのみ充填することがある。
エッチング阻止膜300は、第1の絶縁膜310に対して高いエッチング選択比を有する物質、例えば、シリコン窒化物(SiN)、シリコン酸窒化物(SiON)、シリコン炭窒化物(SiCN)などのような窒化物を含む。第2の絶縁膜320は、ギャップフィル特性に優れる物質、例えば、TEOS(Tetra Ethyl Ortho Silicate)のような酸化物を含む。
図18に示すように、第2の絶縁膜320及び第1の絶縁膜310を平坦化し、これによって、第3のリセス280内には、キャップパターン315が形成され、第2の開口285内には、第1の充填パターン325、及びこの底面及び側壁を覆う第2の充填パターン317が形成される。
本発明の実施例において、前記平坦化工程は、CMP工程を含み、前記CMP工程は、エッチング阻止膜300の上面が露出するまで行われる。前記CMP工程は、第1の層間絶縁膜210及びアラインキー215の上面にそれぞれ形成されたエッチング阻止膜300を研磨終点として行い、これによって、アラインキー215は、前記CMP工程により除去されず、元々の高さを維持することができる。
また、前記CMP工程時、アラインキー215を取り囲む第2の開口285は、エッチング阻止膜300、及び第1及び第2の絶縁膜310、320によって埋め立てられているので、基板100の第1の領域(I)と比較して、高い圧力が印加されず、これによって、前記平坦化工程が均一に行える。
前記CMP工程で使われるスラリは、例えば、SiOx、AlxOy、CexOyなどの研磨剤を含み、前記スラリは、例えば、2〜10のPH値を有する。
図19に示すように、前記露出したエッチング阻止膜300を除去することで、第3のリセス280内に、第1のエッチング阻止パターン305が形成され、第2の開口285内に、第2のエッチング阻止パターン307が形成される。
前記露出したエッチング阻止膜300は、例えば、ドライエッチング工程によって除去されるか、CMP工程によって除去される。エッチング阻止膜300は、例えば、窒化物を含み、例えば、酸化物を含むアラインキー215に対して、高いエッチング選択比を有する。これによって、前記露出したエッチング阻止膜300を除去する工程において、アラインキー215は殆ど除去されず、元々の高さを維持することができる。
一方、基板100の第1の領域(I)上に形成されたゲート電極構造物270、及びゲートスペーサ170の上面を露出させる第3のリセス280の底面及び側壁上には、第1のエッチング阻止パターン305がコンフォーマルに形成され、第1のエッチング阻止パターン305上には、第3のリセス280の残りの部分を充填するキャップパターン315が形成される。これらは共に、キャップ構造物335を形成することができる。ゲート電極構造物270、ゲートスペーサ170、及びキャップ構造物335は、共にゲート構造物を形成する。
また、基板100の第2の領域(II)上に形成されたアラインキー215を取り囲み、基板100の上面を露出させる第2の開口285の底面及び側壁上には、第2のエッチング阻止パターン307がコンフォーマルに形成され、第2のエッチング阻止パターン307上には、第2の充填パターン317が形成され、第2の充填パターン317上には、第2の開口285の残りの部分を充填する第1の充填パターン325が形成される。これらは共に、充填構造物340を形成する。
図18及び図19において説明された工程とは異なり、第1の絶縁膜310の上面が露出するまで、第2の絶縁膜320を平坦化した後で、第1の絶縁膜310及びエッチング阻止膜300の上部を除去することができる。
図20に示すように、キャップ構造物335、第1の層間絶縁膜210、アラインキー215、及び充填構造物340上に、第2の層間絶縁膜350を形成した後で、第2の層間絶縁膜350及び第1の層間絶縁膜210を貫通して、ソース/ドレイン層200の上面に接触する第1のコンタクトプラグ380を形成する。
以後、第1のコンタクトプラグ380及び第2の層間絶縁膜350上に、第3の層間絶縁膜390を形成した後で、第3の層間絶縁膜390、第2の層間絶縁膜350、及びキャップ構造物335を貫通して、ゲート電極構造物270の上面に接触する第2のコンタクトプラグ420を形成する。
具体的には、第2の層間絶縁膜350及び第1の層間絶縁膜210を貫通して、ソース/ドレイン層200の上面を露出させる第3の開口を形成し、前記露出したソース/ドレイン層200の上面、前記第3の開口の側壁、及び第2の層間絶縁膜350の上面に、第1の金属膜を形成した後で、熱処理して、前記露出したソース/ドレイン層200上に、金属シリサイドパターン205を形成する。前記第1の金属膜は、例えば、ニッケル、コバルト、チタンなどを含み、これにより、金属シリサイドパターン205は、ニッケルシリサイド、コバルトシリサイド、チタンシリサイドなどを含む。
前記第1の金属膜から未反応部分を除去した後で、金属シリサイドパターン205の上面、前記第3の開口の側壁、及び第2の層間絶縁膜350の上面に、第1のバリア膜を形成し、前記第1のバリア膜上に、前記第3の開口の残りの部分を充填する第2の金属膜を形成した後に、第2の層間絶縁膜350の上面が露出するまで、前記第2の金属膜及び前記第1のバリア膜を平坦化することができる。これによって、金属シリサイドパターン205の上面、及び前記第3の開口の側壁上に、第1のバリアパターン360が形成され、第1のバリアパターン360上に、前記第3の開口の残りの部分を充填する第2の金属パターン370が形成される。これらは共に、第1のコンタクトプラグ380を形成する。
また、第3の層間絶縁膜390、第2の層間絶縁膜350、及びキャップ構造物335を貫通して、ゲート電極構造物270の上面を露出させる第4開口を形成した後で、ゲート電極構造物270の上面、前記第4開口の側壁、及び第3の層間絶縁膜390の上面に、第2のバリア膜を形成し、前記第2のバリア膜上に、前記第4開口の残りの部分を充填する第3の金属膜を形成した後に、第3の層間絶縁膜390の上面が露出するまで、前記第3の金属膜及び前記第2のバリア膜を平坦化する。これにより、ゲート電極構造物270の上面及び前記第4開口の側壁上に、第2のバリアパターン400が形成され、第2のバリアパターン400上に、前記第4開口の残りの部分を充填する第3の金属パターン410が形成される。これらは共に、第2のコンタクトプラグ420を形成する。
第1及び第2のバリアパターン360、400は、例えば、タンタル窒化物、チタン窒化物などのような金属窒化物を含み、第2及び第3の金属パターン370、410は、例えば、タングステン、銅、アルミニウムなどのような金属を含む。
前記の工程を行うことにより、前記半導体装置が完成する。
上述のように、ゲート電極構造物270を覆うキャップパターン315の形成のための第3のリセス280と比較して、アラインキー215の形成のための第2の開口285の幅がより大きくなり、これによって、第1の絶縁膜310を平坦化して形成されるキャップパターン315が、第3のリセス280を完全に埋め立てることに対して、第1の絶縁膜310を平坦化して形成される第2の充填パターン317は、第2の開口285を完全に埋め立てないことがある。しかし、本発明の実施例において、第2の開口285の残りの部分を充填する第2の絶縁膜320を、第1の絶縁膜310上に更に形成することで、CMP工程時、アラインキー215に圧力が集中して、早く研磨されることを防止することができる。
また、第1の絶縁膜310に対して高い第1のエッチング選択比を有するエッチング阻止膜300を、第3のリセス280及び第2の開口285上に先に形成することで、第1の絶縁膜310に対して、前記第1のエッチング選択比よりも低い第2のエッチング選択比を有するアラインキー215が、前記CMP工程で研磨除去されないようにすることができる。
一方、本発明の概念は、ゲート電極構造物270だけでなく、導電物質を含む導電構造物上にキャップ構造物335を形成する場合には、いずれも適用可能である。
前記半導体装置は、第1及び第2の領域(I、II)を含む基板100の第1の領域(I)上に形成されたゲート電極構造物270と、ゲート電極構造物270の上面を覆うキャップ構造物335と、基板100の第2の領域(II)上に形成され、絶縁物質を含むアラインキー215と、基板100の第2の領域(II)上に形成され、アラインキー215の側壁を覆う充填構造物340とを備える。ここで、キャップ構造物335は、キャップパターン315と、キャップパターン315の底面及び側壁を覆う第1のエッチング阻止パターン305とを含み、充填構造物340は、第1の充填パターン325と、第1の充填パターン325の底面及び側壁を覆う第2の充填パターン317と、第2の充填パターン317の底面及び側壁を覆う第2のエッチング阻止パターン307とを含む。
本発明の実施例において、キャップパターン315及び第2の充填パターン317は、互いに同一の物質、例えば、シリコン酸炭化物(SiOC)を含み、第1及び第2のエッチング阻止パターン305、307は、キャップパターン315に対して高い第1のエッチング選択比を有する物質、例えば、シリコン窒化物(SiN)、シリコン酸窒化物(SiON)、又はシリコン炭窒化物(SiCN)を含む。
本発明の実施例において、アラインキー215は、キャップパターン315に対して、前記第1のエッチング選択比よりも低い第2のエッチング選択比を有する物質、例えば、酸化物を含み、第1の充填パターン325も、例えば、酸化物を含む。
前記充填構造物340の幅は、キャップ構造物335の幅よりも大きく、充填構造物340の底面は、アラインキー215の底面よりも低い。
図21乃至図25は、本発明の実施例による半導体装置の製造方法のステップを説明するための平面図である。前記半導体装置の製造方法は、図1乃至図20を参照して説明した工程と同一又は類似した工程を含むので、これらに関する詳しい説明は、省略する。
前記半導体装置は、DRAM装置であって、以下では、前記DRAM装置のゲート構造物の形成方法について説明する。
図21に示すように、第1及び第2の領域(I、II)を含む基板500の上部をエッチングして、トレンチを形成した後で、前記トレンチを充填する素子分離パターン510を形成する。
これによって、素子分離パターン510によって側壁が取り囲まれるアクティブ領域505が、基板500上に形成される。
本発明の実施例において、基板500の第1の領域(I)は、素子が形成されるチップ領域であり、基板500の第2の領域(II)は、前記チップ領域を取り囲むスクライブレーン領域である。これとは異なり、基板500の第1及び第2の領域(I、II)がいずれも、素子が形成されるチップ領域内に形成される。
図22に示すように、アクティブ領域505及び素子分離パターン510の上部を貫通するゲート電極構造物540を形成する。
ゲート電極構造物540は、アクティブ領域505及び素子分離パターン510の上部を貫通する第4のリセスを形成し、前記第4のリセスの内壁、アクティブ領域505上面、及び素子分離パターン510の上面に、ゲート絶縁膜を形成した後で、前記ゲート絶縁膜上に、前記第4のリセスを充填するゲート電極膜を形成し、アクティブ領域505の上面及び素子分離パターン510の上面が露出するまで、前記ゲート電極膜及び前記ゲート絶縁膜を平坦化することで形成される。
これによって、前記第4のリセス内に順次に積層されたゲート絶縁パターン520及びゲート電極530を含むゲート電極構造物540が形成される。
本発明の実施例において、ゲート電極構造物540は、第1の方向に延在し、前記第1の方向と直交する第2の方向に沿って、複数で形成される。
図23に示すように、ゲート電極構造物540の上部を除去して、第5のリセス550を形成し、基板500の第2の領域(II)上の素子分離パターン510を部分的に除去して、基板500の上面を露出させる第5の開口555を形成する。
これによって、基板500の第2の領域(II)上には、アラインキー515が形成される。
本発明の実施例において、第5のリセス550及び第5の開口555を、同一のエッチング工程、例えば、ドライエッチング工程により、同時に形成する。これとは異なり、第5のリセス550及び第5の開口555は、互いに異なるエッチング工程により順次に形成してもよい。
本発明の実施例において、第5のリセス550の第3の幅(W3)は、第5の開口555の第4の幅(W4)よりも小さい。
図24に示すように、図17を参照して説明した工程と実質的に同一又は類似した工程を行うことができる。
すなわち、第5のリセス550及び第5の開口555の底面及び側壁、アクティブ領域505及びアラインキー515の上面上に、エッチング阻止膜560を形成し、エッチング阻止膜560上に、第1の絶縁膜570及び第2の絶縁膜580を順次に積層する。
本発明の実施例において、エッチング阻止膜560は、第5のリセス550及び第5の開口555の底面及び側壁上にコンフォーマルに形成され、第1の絶縁膜570は、第5のリセス550を充填するが、第5の開口555は部分的に充填するように、その底面及び側壁上に形成され、第2の絶縁膜580は、第5の開口555の残りの部分を充填する。
第1の絶縁膜570は、絶縁性が高く、エッチング率の低い物質、例えば、シリコン酸炭化物(SiOC)を含み、エッチング阻止膜560は、第1の絶縁膜570に対してエッチング選択比を有する物質、例えば、シリコン窒化物(SiN)、シリコン酸窒化物(SiON)、シリコン炭窒化物(SiCN)などのような窒化物を含み、第2の絶縁膜580は、ギャップフィル特性に優れる物質、例えば、TEOSのような酸化物を含む。
図25に示すように、図17乃至図19を参照して説明した工程と実質的に同一又は類似した工程を行うことができる。
すなわち、第2の絶縁膜580及び第1の絶縁膜570を平坦化し、これによって、第5のリセス550内には、キャップパターン575が形成され、第5の開口555内には、第1の充填パターン585、及びこの底面及び側壁を覆う第2の充填パターン577が形成される。
本発明の実施例において、前記平坦化工程は、CMP工程を含み、前記CMP工程は、エッチング阻止膜560の上面が露出するまで行われる。前記CMP工程は、アクティブ領域505及びアラインキー515の上面にそれぞれ形成されたエッチング阻止膜560を、研磨終点として行い、これによって、アラインキー515は、前記CMP工程により除去されず、元々の高さを維持することができる。
また、前記CMP工程に際して、アラインキー515を取り囲む第5の開口555は、エッチング阻止膜560、及び第1及び第2の絶縁膜570、580によって埋め立てられているので、基板500の第1の領域(I)と比較して、更に高い圧力が印加されず、これによって、前記平坦化工程を均一に行うことができる。
以後、前記露出したエッチング阻止膜560を除去することによって、第5のリセス550内に、第1のエッチング阻止パターン565が形成され、第5の開口555内に、第2のエッチング阻止パターン567が形成される。
前記露出したエッチング阻止膜560は、例えば、ウェットエッチング工程で除去するか、又は、CMP工程で除去する。エッチング阻止膜560は、例えば、窒化物を含み、酸化物を含むアラインキー515に対して、高いエッチング選択比を有する。これによって、前記露出したエッチング阻止膜560を除去する工程において、アラインキー515は、殆ど除去されず、元々の高さを維持することができる。
一方、基板500の第1の領域(I)上に形成されたゲート電極構造物540の上面を露出させる第5のリセス550の底面及び側壁上には、第1のエッチング阻止パターン565がコンフォーマルに形成され、第1のエッチング阻止パターン565上には、第5のリセス550の残りの部分を充填するキャップパターン575が形成される。これらは共に、キャップ構造物595を形成する。順次に積層されたゲート電極構造物540とキャップ構造物595は、ゲート構造物600を形成する。
また、基板500の第2の領域(II)上に形成されたアラインキー515を取り囲み、基板500の上面を露出させる第5の開口555の底面及び側壁上には、第2のエッチング阻止パターン567がコンフォーマルに形成され、第2のエッチング阻止パターン567上には、第2の充填パターン577が形成され、第2の充填パターン577上には、第5の開口555の残りの部分を充填する第1の充填パターン585が形成される。これらは共に、充填構造物610を形成する。
以後、前記構造物上に、ビット線、コンタクトプラグ、及びキャパシタなどを形成することで、形成当初の高さを維持するアラインキー515を用いて、前記半導体装置を完成する。
前記半導体装置は、第1及び第2の領域(I、II)を含む基板500の上部に形成された素子分離パターン510により、基板500の第1の領域(I)上で定義されるアクティブ領域505と、アクティブ領域505及び素子分離パターン510の上部を貫通するゲート電極構造物540と、ゲート電極構造物540の上面を覆うキャップ構造物595と、基板500の第2の領域(II)上に形成され、絶縁物質を含むアラインキー515と、基板500の第2の領域(II)上に形成され、アラインキー515の側壁を覆う充填構造物610とを含む。
本発明の実施例において、キャップ構造物595は、キャップパターン575と、キャップパターン575の底面及び側壁を覆う第1のエッチング阻止パターン565とを含み、充填構造物610は、第1の充填パターン585と、第1の充填パターン585の底面及び側壁を覆う第2の充填パターン577と、第2の充填パターン577の底面及び側壁を覆う第2のエッチング阻止パターン567とを含む。
上述の半導体装置は、導電パターンの上部を覆うキャップ膜を含む様々なメモリ装置及びシステムに使用可能である。例えば、前記半導体装置は、中央処理装置(CPU、MPU)、アプリケーション・プロセッサ(AP)などのようなロジック素子に適用可能である。あるいは、前記半導体装置は、DRAM装置、SRAM装置などのような揮発性メモリ装置であるが、フラッシュメモリ装置、PRAM装置、MRAM装置、RRAM(登録商標)装置などのような不揮発性メモリ装置にも適用可能である。
以上では、本発明の実施例を参照して説明したが、当該技術の分野における熟練した当業者は、下記の請求範囲に記載された本発明の思想及び領域から逸脱しない範囲内で、本発明を様々に修正及び変更できることを理解されるだろう。
100 基板
120 素子分離パターン
140 ダミーゲート電極
200 ソース/ドレイン層
210 第1の層間絶縁膜
270 ゲート電極構造物
300 エッチング阻止膜

Claims (20)

  1. 第1及び第2の領域を含む基板の前記第1の領域上に形成されたゲート電極構造物と;
    キャップ構造物であり、
    前記ゲート電極構造物の上面を覆い、
    キャップパターンと、
    前記キャップパターンの底面及び側壁を覆う第1のエッチング阻止パターンと、
    を備える、キャップ構造物と;
    前記基板の第2の領域上に形成され、絶縁物質を含む、アラインキーと;
    充填構造物であり、
    前記基板の第2の領域上に形成され、前記アラインキーの側壁を覆い、
    第1の充填パターンと、
    前記第1の充填パターンの底面及び側壁を覆う第2の充填パターンと、
    前記第2の充填パターンの底面及び側壁を覆う第2のエッチング阻止パターンと、
    を備える、充填構造物と;
    を含む、半導体装置。
  2. 前記キャップパターン及び前記第2の充填パターンは、互いに同一の物質を含み、
    前記第1のエッチング阻止パターン及び前記第2のエッチング阻止パターンは、互いに同一の物質を含む、
    請求項1に記載の半導体装置。
  3. 前記キャップパターン及び前記第2の充填パターンは、シリコン酸炭化物(SiOC)を含み、
    前記第1のエッチング阻止パターン及び前記第2のエッチング阻止パターンは、シリコン窒化物(SiN)、シリコン酸窒化物(SiON)、又は、シリコン炭窒化物(SiCN)を含む、
    請求項2に記載の半導体装置。
  4. 前記アラインキー及び前記第1の充填パターンは、酸化物を含む、
    請求項1または2に記載の半導体装置。
  5. 前記充填構造物の幅は、前記キャップ構造物の幅よりも大きい、
    請求項1または2に記載の半導体装置。
  6. 前記充填構造物の底面は、前記アラインキーの底面よりも低い、
    請求項1または2に記載の半導体装置。
  7. 前記半導体装置は、更に、
    前記ゲート電極構造物の側壁を覆うゲートスペーサを含み、
    前記キャップ構造物は、前記ゲート電極構造物の上面、及び、前記ゲートスペーサの上面を覆う、
    請求項1に記載の半導体装置。
  8. 前記半導体装置は、更に、
    前記キャップ構造物を貫通して、前記ゲート電極構造物の上面に接触する第1のコンタクトプラグ、を含む、
    請求項1に記載の半導体装置。
  9. 前記ゲート電極構造物は、前記基板の上面から突出したアクティブフィン上に形成され、更に、
    前記ゲート電極構造物に隣接する前記アクティブフィン上に形成されたソース/ドレイン層と、
    前記ソース/ドレイン層の上面に接触する第2のコンタクトプラグと、を含む、
    請求項1に記載の半導体装置。
  10. 前記ゲート電極構造物は、前記アクティブフィンの上面に順次に積層されたインタフェースパターン、ゲート絶縁パターン、仕事関数調節パターン、及び、ゲート電極、を含む、
    請求項9に記載の半導体装置。
  11. 第1及び第2の領域を含む基板の前記第1の領域上に形成された導電構造物と、
    キャップ構造物であり、
    前記導電構造物の上面を覆い、
    シリコン酸炭化物(SiOC)を有するキャップパターンと、
    前記キャップパターンの底面及び側壁を覆い、前記キャップパターンに対して、第1のエッチング選択比を有する物質を含む第1のエッチング阻止パターンと、
    を備える、キャップ構造物と;
    前記基板の第2の領域上に形成され、前記キャップパターンに対して、前記第1のエッチング選択比よりも低い第2のエッチング選択比を有する物質を備える、アラインキーと;
    充填構造物であり、
    前記基板の第2の領域上に形成され、前記アラインキーの側壁を覆い、
    第1の充填パターンと、
    前記第1の充填パターンの底面及び側壁を覆い、前記キャップパターンと同一の物質を有する、第2の充填パターンと、
    前記第2の充填パターンの底面及び側壁を覆い、前記第1のエッチング阻止パターンと同一の物質を含む、第2のエッチング阻止パターンと、
    を備える、充填構造物と;
    を含む、半導体装置。
  12. 前記第1のエッチング阻止パターン及び前記第2のエッチング阻止パターンは、シリコン窒化物(SiN)、シリコン酸窒化物(SiON)、又は、シリコン炭窒化物(SiCN)を含む、
    請求項11に記載の半導体装置。
  13. 前記アラインキー及び前記第1の充填パターンは、酸化物を含む、
    請求項11または12に記載の半導体装置。
  14. 前記充填構造物の幅は、前記キャップ構造物の幅よりも大きい、
    請求項11または12に記載の半導体装置。
  15. 前記充填構造物の底面は、前記アラインキーの底面よりも低い、
    請求項11または12に記載の半導体装置。
  16. 基板上に形成された素子分離パターンにより、前記基板上で定義されるアクティブ領域と;
    前記アクティブ領域及び前記素子分離パターン上に形成されたゲート電極構造物と;
    キャップ構造物であり、
    前記ゲート電極構造物の上面を覆い、
    シリコン酸炭化物(SiOC)を含むキャップパターンと、
    前記キャップパターンの底面及び側壁を覆い、シリコン窒化物(SiN)、シリコン酸窒化物(SiON)、又は、シリコン炭窒化物(SiCN)を含む、エッチング阻止パターンと、
    を備える、キャップ構造物と;
    前記ゲート電極構造物に隣接する前記アクティブ領域上に形成された、ソース/ドレイン層と;
    を含む、半導体装置。
  17. 前記半導体装置は、更に、
    前記ゲート電極構造物の側壁を覆うゲートスペーサを含み、
    前記キャップ構造物は、前記ゲート電極構造物の上面、及び、前記ゲートスペーサの上面を覆う、
    請求項16に記載の半導体装置。
  18. 前記半導体装置は、更に、
    前記キャップ構造物を貫通して、前記ゲート電極構造物の上面に接触する、第1のコンタクトプラグと、
    前記ソース/ドレイン層の上面に接触する、第2のコンタクトプラグと、を含む、
    請求項16または17に記載の半導体装置。
  19. 前記アクティブ領域は、前記基板の上面から突出して、前記素子分離パターンの上面よりも高い上面を有する、アクティブフィンを含む、
    請求項16に記載の半導体装置。
  20. 前記ゲート電極構造物は、前記アクティブフィンの上面に順次に積層されたインタフェースパターン、ゲート絶縁パターン、仕事関数調節パターン、及び、ゲート電極を含む、
    請求項19に記載の半導体装置。
JP2019129363A 2018-07-17 2019-07-11 半導体装置 Active JP7394550B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020180083152A KR102521890B1 (ko) 2018-07-17 2018-07-17 반도체 장치
KR10-2018-0083152 2018-07-17

Publications (2)

Publication Number Publication Date
JP2020013997A true JP2020013997A (ja) 2020-01-23
JP7394550B2 JP7394550B2 (ja) 2023-12-08

Family

ID=69161160

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019129363A Active JP7394550B2 (ja) 2018-07-17 2019-07-11 半導体装置

Country Status (5)

Country Link
US (1) US11189572B2 (ja)
JP (1) JP7394550B2 (ja)
KR (1) KR102521890B1 (ja)
CN (1) CN110729278A (ja)
SG (1) SG10201904032QA (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210051401A (ko) * 2019-10-30 2021-05-10 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN112201619A (zh) * 2020-10-12 2021-01-08 合肥晶合集成电路股份有限公司 一种金属互连结构的形成方法
US20220310814A1 (en) * 2021-03-26 2022-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive Capping For Work Function Layer and Method Forming Same
KR20230006205A (ko) * 2021-07-02 2023-01-10 삼성전자주식회사 반도체 소자

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013258185A (ja) * 2012-06-11 2013-12-26 Ps4 Luxco S A R L 半導体装置の製造方法
US20140051246A1 (en) * 2012-08-20 2014-02-20 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device
US9064801B1 (en) * 2014-01-23 2015-06-23 International Business Machines Corporation Bi-layer gate cap for self-aligned contact formation
JP2016058727A (ja) * 2014-09-08 2016-04-21 ラム リサーチ コーポレーションLam Research Corporation 自己整合コンタクト方式のための犠牲プレメタル誘電体
US20170256444A1 (en) * 2016-03-07 2017-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices, finfet devices and methods of forming the same

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980045163A (ko) 1996-12-09 1998-09-15 김광호 반도체장치의 제조방법
US6043133A (en) 1998-07-24 2000-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of photo alignment for shallow trench isolation chemical-mechanical polishing
KR20050008364A (ko) 2003-07-15 2005-01-21 삼성전자주식회사 층간절연막 평탄화 방법
KR100567892B1 (ko) 2003-12-29 2006-04-04 동부아남반도체 주식회사 반도체 소자 제조시 금속배선층간 저유전율 절연막 형성방법
KR100783639B1 (ko) 2005-12-15 2007-12-10 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR100816248B1 (ko) 2006-08-29 2008-03-21 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
KR100850144B1 (ko) 2006-08-31 2008-08-04 동부일렉트로닉스 주식회사 얼라인먼트 마크 보호 방법
KR100842494B1 (ko) 2007-06-25 2008-07-01 주식회사 동부하이텍 반도체 소자의 정렬키 형성 방법
KR102243492B1 (ko) * 2014-07-21 2021-04-23 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR20170087634A (ko) * 2016-01-21 2017-07-31 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9735156B1 (en) * 2016-01-26 2017-08-15 Samsung Electronics Co., Ltd. Semiconductor device and a fabricating method thereof
KR102472133B1 (ko) * 2016-09-22 2022-11-29 삼성전자주식회사 집적회로 소자
KR102549340B1 (ko) * 2016-09-27 2023-06-28 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR102575420B1 (ko) * 2016-10-05 2023-09-06 삼성전자주식회사 반도체 장치 및 그 제조 방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013258185A (ja) * 2012-06-11 2013-12-26 Ps4 Luxco S A R L 半導体装置の製造方法
US20140051246A1 (en) * 2012-08-20 2014-02-20 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device
US9064801B1 (en) * 2014-01-23 2015-06-23 International Business Machines Corporation Bi-layer gate cap for self-aligned contact formation
JP2016058727A (ja) * 2014-09-08 2016-04-21 ラム リサーチ コーポレーションLam Research Corporation 自己整合コンタクト方式のための犠牲プレメタル誘電体
US20170256444A1 (en) * 2016-03-07 2017-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices, finfet devices and methods of forming the same

Also Published As

Publication number Publication date
US20200027842A1 (en) 2020-01-23
US11189572B2 (en) 2021-11-30
KR20200008902A (ko) 2020-01-29
JP7394550B2 (ja) 2023-12-08
SG10201904032QA (en) 2020-02-27
CN110729278A (zh) 2020-01-24
KR102521890B1 (ko) 2023-04-14

Similar Documents

Publication Publication Date Title
US11532504B2 (en) Low-resistance contact plugs and method forming same
TWI723288B (zh) 半導體裝置及其製造方法
US11895819B2 (en) Implantations for forming source/drain regions of different transistors
US10164064B2 (en) FinFETs with low source/drain contact resistance
US10516030B2 (en) Contact plugs and methods forming same
CN108231588B (zh) 晶体管及其形成方法
US20210242212A1 (en) Buried Metal Track and Methods Forming Same
CN105742343B (zh) 用于3d finfet金属栅极的结构和方法
KR102030728B1 (ko) Finfet 구조물 및 그 형성 방법
US9865594B2 (en) Semiconductor devices
KR102328564B1 (ko) 반도체 장치 및 그 제조 방법
JP7394550B2 (ja) 半導体装置
KR20210145711A (ko) 반도체 장치 및 그 제조 방법
US10410919B2 (en) Methods of forming wiring structures for semiconductor devices
US20220181440A1 (en) Transistors with Stacked Semiconductor Layers as Channels
TWI740072B (zh) 形成半導體結構的方法
US9997402B2 (en) Method of manufacturing a wiring structure on a self-forming barrier pattern
US20220278224A1 (en) Etching Back and Selective Deposition of Metal Gate
TWI767293B (zh) 半導體元件及其形成方法
US20230107945A1 (en) FinFET Structures and Methods of Forming the Same
TW202143392A (zh) 半導體裝置及其形成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220615

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230615

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230620

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230919

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231121

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231128

R150 Certificate of patent or registration of utility model

Ref document number: 7394550

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150