TWI767293B - 半導體元件及其形成方法 - Google Patents

半導體元件及其形成方法 Download PDF

Info

Publication number
TWI767293B
TWI767293B TW109127190A TW109127190A TWI767293B TW I767293 B TWI767293 B TW I767293B TW 109127190 A TW109127190 A TW 109127190A TW 109127190 A TW109127190 A TW 109127190A TW I767293 B TWI767293 B TW I767293B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric
gate
work function
region
Prior art date
Application number
TW109127190A
Other languages
English (en)
Other versions
TW202114229A (zh
Inventor
吳仲強
陳柏成
黃國展
鍾鴻欽
李顯銘
陳建豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202114229A publication Critical patent/TW202114229A/zh
Application granted granted Critical
Publication of TWI767293B publication Critical patent/TWI767293B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates

Abstract

一種元件,包括半導體鰭片,和閘極堆疊在半導體鰭片的側壁和頂面上。閘極堆疊包括高介電常數介電層、功函數層重疊高介電常數介電層的底部、和阻擋層重疊功函數層的第二底部。低電阻金屬層重疊並接觸功函數層和阻擋層。低電阻金屬層具有電阻值,其低於功函數層和阻擋層的第二電阻值。閘極間隔物接觸閘極堆疊的側壁。

Description

半導體元件及其形成方法
本發明實施例是關於半導體元件及其形成方法,特別是關於低閘極電阻的電晶體。
金屬氧化物半導體(metal-oxide-semiconductor,MOS)元件通常包括金屬閘極,其形成以解決在一般多晶矽閘極中的多晶矽閘極空乏效應(poly-depletion effect)。當施加的電場由接近閘極介電質的閘極區掃除載子時,多晶矽閘極空乏效應可發生,形成空乏層。在N型摻雜的多晶矽層中,空乏層包括離子化非移動施子位置(donor site),其中在P型摻雜的多晶矽層中,空乏層包括離子化非移動受子位置(acceptor site)。空乏效應導致有效閘極介電質厚度的增加,使反轉層(inversion layer)更不容易在半導體表面被創造。
金屬閘極可包括複數個膜層以合乎N型金屬氧化物半導體元件和P型金屬氧化物半導體元件的需求。金屬閘極的形成通常涉及沉積複數個金屬層,以鎢形成填入金屬區,然後進行化學機械拋光(chemical mechanical polish,CMP)製程以移除金屬層的多餘部分。金屬層的剩餘部分為金屬閘極。
一種半導體元件,包括:第一半導體鰭片;第一閘極堆疊,於第一半導體鰭片的側壁和頂面上,其中第一閘極堆疊包括:高介電常數介電層;功函數層,重疊高介電常數介電層的第一底部;以及第一阻擋層,重疊功函數層的第二底部;以及第一低電阻金屬層,重疊並接觸功函數層和第一阻擋層,其中第一低電阻金屬層具有第一電阻值,第一電阻值低於功函數層和第一阻擋層的第二電阻值;以及第一閘極間隔物,接觸第一閘極堆疊的側壁。
一種半導體元件,包括:高介電常數介電層;功函數層,於高介電常數介電層上並與其接觸;阻擋區,於功函數層上並與其接觸;金屬層,於功函數層和阻擋區上並與其接觸,其中金屬層為平坦的,且金屬層不含延伸進入阻擋區的部分;閘極間隔物,於高介電常數介電層的側壁上;以及介電質填入區,重疊並接觸閘極間隔物、高介電常數介電層、和金屬層。
一種半導體元件的形成方法,包括:形成虛置閘極堆疊於半導體區上;形成多個閘極間隔物於虛置閘極堆疊的兩側上;以替換閘極堆疊替換虛置閘極堆疊,其中替換閘極堆疊包括:閘極介電層;功函數層,於閘極介電層上;以及高電阻導電層,於功函數層上;回蝕替換閘極堆疊和閘極間隔物;以及沉積金屬層於功函數層和高電阻導電層上。
10:晶圓
20:(半導體)基底
22:井區
24:(淺溝槽)隔離區
24A,124A,224A:頂面
26:半導體條
28:墊氧化物層
30:硬遮罩(層)
36,136,236:(凸出)鰭片
38,138,238:虛置閘極堆疊
40,140,240:虛置閘極介電質
42,142,242:虛置閘極電極
44,144,244:硬遮罩層
46,146,246:閘極間隔物
146TS,246TS:頂面
50:凹槽
54,154,254:磊晶區(源極/汲極區)
56:空洞(氣隙)
58:接觸蝕刻停止層
59,159,259:開口
60:層間介電質
77,77’:箭頭
100,200:元件區
161,261:凹槽(開口)
162,262:介面層
164,264:高介電常數介電層
164TS,264TS:頂面
166,266:黏著層(擴散阻障層)
168,268:功函數層
170,270:阻擋層
172,272:金屬層
174,274:閘極堆疊
176,276:閘極電極
178,278:凹槽(開口)
180,280:低電阻導電層
182,282:介電質填入區
184,284:閘極接觸插塞
186,286:(源極/汲極)矽化物區
187,287:源極/汲極接觸插塞
190,290:鰭式場效電晶體
300:製程流程
302,304,306,308,310,312,314,316,318,320,322,324,326,328,330:製程
A-A:線段
D1,D2,D3,D4:凹蝕深度
H1:高度
H2:垂直距離
Lg1,Lg2:通道長度
Rg:閘極電阻
以下將配合所附圖式詳述本揭露之各面向。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可任意地放大或縮小元件的尺寸,以清楚地表現出本揭露實施例的特徵。
第1~6、7A、7B、8A、8B、和9~15圖是根據一些實施例,繪示出形成鰭式場效電晶體(fin field-effect transistor,FinFET)的中間階段的剖面示意圖和透視圖。
第16圖是根據一些實施例,繪示出鰭式場效電晶體的平面圖。
第17圖是根據一些實施例,繪示出形成鰭式場效電晶體的製程流程圖。
以下揭露提供了許多的實施例或範例,用於實施本發明的不同部件。組件和配置的具體範例描述如下,以簡化本揭露實施例。當然,這些僅僅是範例,並非用以限定本揭露實施例。舉例來說,敘述中提及第一部件形成於第二部件之上,可包括形成第一和第二部件直接接觸的實施例,也可包括額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。另外,本揭露可在各種範例中重複元件符號及/或字母。這樣重複是為了簡化和清楚的目的,其本身並非主導所討論各種實施例及/或配置之間的關係。
再者,此處可使用空間上相關的用語,如「在...之下」、「下方的」、「低於」、「在...上方」、「上方的」和類似用語可用於此,以便描述如圖所示一元件或部件和其他元件或部件之間的關係。這些空間用語企圖包括使用或操作中的裝置的不同方位,以及圖式所述的方位。當裝置被轉至其他方位(旋轉90°或其他方位),則在此所使用的空間相對描述可同樣依旋轉後的方位來解讀。
根據一些實施例,提供電晶體及其形成方法。根據一些實施例,繪示出形成電晶體的中間階段。討論一些實施例的變化。透過各種示意圖和例 示性的實施例,使用類似參考符號以指定類似元件。儘管方法實施例的討論可在特定順序中進行,其他方法實施例可在任何符合邏輯的順序中進行。根據一些實施例,使用鰭式場效電晶體(fin field-effect transistor,FinFET)的形成作為範例以說明本揭露的概念。其他種類的電晶體(如平面電晶體)也可採用本揭露的概念。根據本揭露的一些實施例,針對鰭式場效電晶體形成金屬(替換)閘極。然後,蝕刻並凹蝕金屬閘極,以產生凹槽。在凹蝕後的金屬閘極上形成低電阻導電層,低電阻導電層接觸凹蝕後的金屬閘極。低電阻導電層具有比金屬閘極的膜層較低的電阻,而減少金屬閘極的整體閘極電阻。
第1~6、7A、7B、8A、8B、和9~15圖是根據本揭露的一些實施例,繪示出形成鰭式場效電晶體的中間階段的剖面示意圖和透視圖。這些圖式中所示製程也示意地反映在第17圖中的製程流程300中。
參考第1圖,提供基底20。基底20可為半導體基底,如主體半導體基底、絕緣層上半導體(semiconductor-on-insulator,SOI)基底、或其他類似材料,其可為摻雜(如以P型或N型摻質)或未摻雜。半導體基底20可為晶圓10(如矽晶圓)的一部分。總體而言,絕緣層上半導體基底為在絕緣層上形成的半導體材料膜層。絕緣層可為,舉例來說,埋入式氧化物(buried oxide,BOX)層、矽氧化物層、或其他類似材料。在基底上提供絕緣層,通常為矽或玻璃基底。也可使用其他基底(如多膜層或梯度基底)。在一些實施例中,半導體基底20的半導體材料可包括矽、鍺、化合物半導體(包括有機矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦)、合金半導體(包括矽鍺、砷磷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或砷磷化鎵銦)、或其組合。
進一步參考第1圖,在基底20中形成井區22。個別製程繪示於第 17圖的製程流程300中的製程302。根據本揭露的一些實施例,井區22為透過植入P型雜質於基底20中所形成的P型井區,其雜質可為硼、銦、或其他類似材料。根據本揭露的其他實施例,井區22為透過植入N型雜質於基底20中所形成的N型井區,其雜質可為磷、砷、銻、或其他類似材料。所得的井區22可延伸至基底20的頂面。N型或P型雜質濃度可等於或小於1018cm-3,如介於約1017cm-3和1018cm-3的範圍之間。
參考第2圖,形成隔離區24以由基底20的頂面延伸至基底20中。以下替代地將隔離區24稱為淺溝槽隔離(shallow trench isolation,STI)區。個別製程繪示於第17圖的製程流程300中的製程304。基底20介於鄰近淺溝槽隔離區24之間的部分被稱為半導體條26。為了形成淺溝槽隔離區24,在半導體基底20上形成,接著圖案化,墊氧化物層28和硬遮罩層30。墊氧化物層28可為以氧化矽所形成的薄膜。根據本揭露的一些實施例,在熱氧化製程(thermal oxidation process)中形成墊氧化物層28,其中半導體基底20的頂面層被氧化。墊氧化物層28作為半導體基底20和硬遮罩層30之間的黏著層。墊氧化物層28也可作為蝕刻硬遮罩層30的蝕刻停止層。根據本揭露的一些實施例,使用例如低壓化學氣相沉積(low pressure chemical vapor deposition,LPCVD),以氮化矽形成硬遮罩層30。根據本揭露的其他實施例,藉由矽的熱氮化或電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)形成硬遮罩層30。在硬遮罩層30上形成,接著圖案化,光阻(未繪示)。然後,使用圖案化後的光阻作為蝕刻遮罩圖案化硬遮罩層30以形成硬遮罩30,如第2圖所示。
接著,使用圖案化後的硬遮罩層30作為蝕刻遮罩以蝕刻墊氧化物層28和基底20,接著在基底20中所得的溝槽內填入介電材料。進行平坦化製程 (如化學機械拋光(chemical mechanical polish,CMP)製程或機械研磨製程)以移除介電材料的多餘部分,而介電材料的剩餘部分為淺溝槽隔離區24。淺溝槽隔離區24可包括襯物介電質(未繪示),其可為透過基底20的表面層的熱氧化所形成的熱氧化物。襯物介電質也可為使用例如原子層沉積(atomic layer deposition,ALD)、高密度電漿化學氣相沉積(high-density plasma chemical vapor deposition,HDPCVD),或化學氣相沉積(chemical vapor deposition,CVD)形成沉積的氧化矽層、氮化矽層、或其他類似材料。淺溝槽隔離區24也可包括在襯物介電質上的介電材料,其中可使用流動性化學氣相沉積(flowable chemical vapor deposition,FCVD)、旋轉塗佈、或其他類似方法形成介電材料。根據一些實施例,在襯物介電質上的介電材料可包括氧化矽。
硬遮罩30的頂面和淺溝槽隔離區24的頂面可實質上彼此齊平。半導體條26介於鄰近的淺溝槽隔離區24之間。根據本揭露的一些實施例,半導體條26為原本基底20的一部分,而因此半導體條26的材料與基底20的材料相同。根據本揭露的替代實施例,半導體條26為藉由蝕刻基底20介於淺溝槽隔離區24之間的部分以形成凹槽,並在凹槽中進行磊晶以再成長另一半導體材料的替換條。相應地,形成半導體條26的材料與基底20的材料不同。根據一些實施例,以矽鍺、有機矽、或III-V族化合物半導體材料形成半導體條26。接著,移除硬遮罩30。
參考第3圖,凹蝕淺溝槽隔離區24,使得半導體條26的頂部凸出高於淺溝槽隔離區24剩餘部分的頂面24A,以形成凸出鰭片36。個別製程繪示於第17圖的製程流程300中的製程306。也移除墊氧化物層28。可使用乾蝕刻製程進行蝕刻,其中使用例如HF3和NH3作為蝕刻氣體。在蝕刻製程期間,可產生電 漿。也可包括氬氣。根據本揭露的替代實施例,使用濕蝕刻製程進行淺溝槽隔離區24的凹蝕。蝕刻化學品可包括例如HF。
在上述實施例中,可藉由任何合適方法圖案化鰭片。舉例來說,可使用一或多個光微影製程(包括雙圖案化或多圖案化製程)圖案化鰭片。總體而言,雙圖案化或多圖案化製程結合光微影和自我對準製程,允許所創造的圖案具有比使用單一或直接光微影製程所獲得的節距更小的節距。舉例來說,在一實施例中,在基底上形成,並使用光微影製程圖案化,犧牲層。使用自我對準製程沿著圖案化後的犧牲層形成間隔物。然後,移除犧牲層,而可使用剩餘的間隔物,或心軸(mandrel),以圖案化鰭片。
參考第4圖,形成虛置閘極堆疊38以延伸於(凸出)鰭片36的頂面和側壁上。個別製程繪示於第17圖的製程流程300中的製程308。虛置閘極堆疊38可包括虛置閘極介電質40和於虛置閘極介電質40上的虛置閘極電極42。可以氧化矽或其他類似材料形成虛置閘極介電質40。可使用例如多晶矽形成虛置閘極電極42,而也可使用其他材料。每個虛置閘極堆疊38也可包括在虛置閘極電極42上的一個(或複數個)硬遮罩層44。可以氮化矽、氧化矽、碳氮化矽、或其多膜層形成硬遮罩層44。虛置閘極堆疊38可跨越單一或複數個凸出鰭片36及/或淺溝槽隔離區24。虛置閘極堆疊38也具有長邊方向,其垂直於凸出鰭片36的長邊方向。
接著,在虛置閘極堆疊38的側壁上形成閘極間隔物46。個別製程亦繪示於第17圖的製程流程300中的製程308。根據本揭露的一些實施例,以低介電常數(low-k)介電材料(如多孔氧氮化矽、多孔碳氮化矽、多孔氮化矽、或其他類似材料)形成閘極間隔物46,且可具有單層結構或包括複數個介電層 的多層結構。閘極間隔物46的介電常數(k值)低於3.8,且可低於約3.0,例如介於約2.5和3.0之間的範圍。
然後,進行蝕刻製程以蝕刻凸出鰭片36未被虛置閘極堆疊38和閘極間隔物46覆蓋的部分,所得的結構繪示於第5圖中。個別製程繪示於第17圖的製程流程300中的製程310。凹蝕可為異向性(anisotropic),且因此保護鰭片36於虛置閘極堆疊38和閘極間隔物46正下方的部分不被蝕刻。根據一些實施例,凹蝕後的半導體條26的頂面可低於淺溝槽隔離區24的頂面24A。相應地形成凹槽50。凹槽50包括位在虛置閘極堆疊38兩側的部分,和介於凸出鰭片36剩餘部分之間的部分。
接著,藉由在凹槽50中選擇性地成長(透過磊晶)半導體材料以形成磊晶區(源極/汲極區)54,所得的結構於第6圖中。個別製程繪示於第17圖的製程流程300中的製程312。取決於所得的鰭式場效電晶體為P型鰭式場效電晶體或N型鰭式場效電晶體,可在磊晶的進行時原位(in-situ)摻雜P型或N型雜質。舉例來說,當所得的鰭式場效電晶體為P型鰭式場效電晶體時,可成長硼化矽鍺(silicon germanium boron,SiGeB)、硼化矽(silicon boron,SiB)、或其他類似材料。相反地,當所得的鰭式場效電晶體為N型鰭式場效電晶體時,可成長磷化矽(silicon phosphorous,SiP)、磷化矽碳(silicon carbon phosphorous,SiCP)、或其他類似材料。根據本揭露的替代實施例,磊晶區54包括III-V族化合物半導體,如砷化鎵(GaAs)、磷化銦(InP)、氮化鎵(GaN)、砷化銦鎵(InGaAs)、砷化銦鋁(InAlAs)、銻化鎵(GaSb)、銻化鋁(AlSb)、砷化鋁(AlAs)、磷化鋁(AlP)、磷化鎵(GaP)、其組合、或其多膜層。在以磊晶區54填入凹槽50後,磊晶區54的進一步磊晶成長造成磊晶區54水平地擴展,且形成晶面 (facet)。磊晶區54的進一步成長也可造成相鄰的磊晶區54彼此合併。可產生空洞(氣隙)56。根據本揭露的一些實施例,當合併後的磊晶區54的頂面仍為波浪狀時,或當合併後的磊晶區54的頂面呈現平坦時(藉由在磊晶區54上進一步成長,如第6圖所示),可完成磊晶區54的形成。
在磊晶步驟後,可進一步以P型或N型雜質植入磊晶區54,以形成源極和汲極區,也使用參考符號54表示。根據本揭露的替代實施例,當在磊晶期間以P型或N型雜質原位摻雜磊晶區54時,則跳過植入步驟。
第7A圖是在接觸蝕刻停止層(contact etch stop layer,CESL)58和層間介電質(inter-layer dielectric,ILD)60的形成後,繪示出結構的透視圖。個別製程繪示於第17圖的製程流程300中的製程314。可以氮化矽、氧化矽、碳氮化矽、或其他類似材料,並使用化學氣相沉積、原子層沉積、或其他類似方法,形成接觸蝕刻停止層58。層間介電質60可包括使用例如流動性化學氣相沉積、旋轉塗佈、化學氣相沉積、或其他沉積方法所形成的介電材料。層間介電質60可以含氧介電材料形成,其可為矽氧基材料,如氧化矽、磷矽酸玻璃(phospho-silicate glass,PSG)、硼矽酸玻璃(boro-silicate glass,BSG)、硼摻雜磷矽酸玻璃(boron-doped phospho-silicate glass,BPSG)、或其他類似材料。可進行平坦化製程(如化學機械拋光製程或機械研磨製程)以使層間介電質60、虛置閘極堆疊38、和閘極間隔物46的頂面彼此齊平。
第7B圖是在相同基底20上,繪示出形成較短通道元件和較長通道元件(其可為鰭式場效電晶體)的中間結構的剖面示意圖。在元件區100中形成較短通道元件,並在元件區200中形成較長通道元件。較短通道元件具有通道長度Lg1,小於較長通道元件的通道長度Lg2,如所繪示。根據一些實施例,通道 長度Lg2和通道長度Lg1的比例可大於約1.5或2.0,且通道長度Lg2和通道長度Lg1的比例可介於約1.5或10之間的範圍。根據本揭露的一些實施例,較短通道元件的通道長度Lg1可小於約30nm,而較長通道元件的通道長度Lg2可大於約45nm。根據一些實施例,較短通道元件為核心電晶體或在其他電路(如靜態隨機存取記憶體(static random access memory,SRAM))的電晶體,而較長通道元件為在驅動電路(driver circuit)、週邊電路(peripheral circuit)、和其他類似電路中的電晶體。較短通道元件和較長通道元件的剖面示意圖皆可對應於在第7A圖中由包含A-A線段的垂直面所獲得的剖面示意圖。
為了區分較短通道元件中的部件和較長通道元件中的部件,可使用在第7A圖中的對應部件的參考符號加上100後的參考符號來代表較短通道元件中的部件,且可使用在第7A圖中的對應部件的參考符號加上200後的參考符號來代表較長通道元件中的部件。舉例來說,在第7B圖中的源極/汲極區154和254對應在第7A圖中的源極/汲極區54。在較短通道元件區和較長通道元件區中的閘極間隔物分別以146和246所代表,其對應在第7A圖中的閘極間隔物46。可在共同製程中形成在較短通道元件和較長通道元件中的對應部件,一些範例製程於先前和後續段落中論述。
在形成第7A和7B圖中所示的結構後,以金屬閘極和替換閘極介電質替換虛置閘極堆疊138和238,如第8A、8B、和9~14圖所示。在第8B和9~14圖中,繪示了淺溝槽隔離區24的頂面124A和224A,而凸出鰭片136和236分別凸出高於頂面124A和224A。
為了形成替換閘極,先移除如第7B圖中所示的硬遮罩層144和244、虛置閘極電極142和242、和虛置閘極介電質140和240,而形成如第8B圖中 所示的開口159和259。個別製程繪示於第17圖的製程流程300中的製程316。第8A圖中的開口59對應在元件區100中的開口159和在元件區200中的開口259。分別露出凸出鰭片136和236的頂面和側壁於開口159和開口259。
接著,參考第9圖,形成閘極介電質162和164(以下稱為162/164)和閘極介電質262和264(以下稱為262/264),其分別延伸進入開口159和開口259中。個別製程繪示於第17圖的製程流程300中的製程318。根據本揭露的一些實施例,閘極介電質包括介面層(interfacial layer,IL)162和262,其分別形成在凸出鰭片136和236的露出表面上。介面層162和262可包括氧化物層(如氧化矽層),其透過凸出鰭片136和236的熱氧化、化學氧化製程、或沉積製程形成。閘極介電質也可包括於對應介面層162和262上的高介電常數(high-k)介電層164和264。高介電常數介電層164和264可以高介電常數介電材料(如氧化鉿、氧化鑭、氧化鋁、氧化鋯、其組合、其多膜層、或其他類似材料)所形成。高介電常數介電材料的介電常數高於3.9,且可高於約7.0,且有時高達21.0或更高。高介電常數介電層164和264覆蓋,並可接觸,個別於下方的介面層162和262。形成高介電常數介電層164和264作為順應層,並分別延伸於凸出鰭片136和236的側壁上和閘極間隔物146和246的頂面和側壁上。根據本揭露的一些實施例,使用原子層沉積、化學氣相沉積、或其他類似方法形成高介電常數介電層164和264。高介電常數介電層164和264可為相同介電層的部分,且可以相同材料和相同厚度同時地形成,或以不同材料及/或不同厚度分開地形成。
根據一些實施例,於高介電常數介電層164和264上形成黏著層(其也可為擴散阻障層)166和266。可以氮化鈦或矽氮化鈦(titanium silicon nitride,TSN)形成黏著層166和266。可使用原子層沉積或化學氣相沉積形成氮 化鈦層,而矽氮化鈦層可包括交差沉積氮化鈦層和氮化矽層,其可使用例如原子層沉積形成。由於氮化鈦層和氮化矽層非常薄,這些膜層可能無法彼此區分,而因此被稱為矽氮化鈦層。根據替代實施例,未形成黏著層166和266,而後續形成的功函數層168和268則接觸下方對應的高介電常數介電層164和264。
進一步參考第9圖,透過沉積形成功函數層168和268。個別製程繪示於第17圖的製程流程300中的製程320。功函數層168和268個別包括至少一個同質(homogenous)層,具有以相同材料形成的整體,或可包括複數個次層以與彼此不同的材料形成。功函數層168和268中的對應膜層可在共同沉積製程中形成或不在共同沉積製程中形成。取決於在元件區100和200中的個別鰭式場效電晶體為N型鰭式場效電晶體或P型鰭式場效電晶體,可選擇在功函數層168和268中的膜層的特定材料。舉例來說,當鰭式場效電晶體為N型鰭式場效電晶體時,功函數層168和268可個別包括N型功函數層,其包括氮化鈦層、氮化鉭層、鋁基層(以例如鋁化鈦、鋁氮化鈦、鋁碳化鈦、鋁氮化鉭、鋁化鉭、或鋁碳化鉭形成)、碳化鎢、其組合、和其上的多膜層。當鰭式場效電晶體為P型鰭式場效電晶體時,對應的功函數層168和268可包括P型功函數層,如氮化鈦層、碳氮化鎢(WxCyNz)層、或其他類似材料。應理解的是,碳氮化鎢可為N型功函數層或P型功函數層,取決於鎢、碳、和氮之間的比例。舉例來說,當z值接近0時,個別碳氮化鎢層為N型功函數層。另一方面,W0.55C0.12N0.28O0.05層為P型功函數層。根據一些實施例,N型鰭式場效電晶體的功函數層也可包括N型功函數層和在N型功函數層上的P型功函數層,其中N型功函數層支配個別鰭式場效電晶體的功函數。類似地,P型鰭式場效電晶體的功函數層也可包括P型功函數層和在P型功函數層上的N型功函數層,其中P型功函數層支配個別鰭式場效電晶體的功 函數。根據其他實施例,鰭式場效電晶體具有單一同質功函數層。
根據本揭露的一些實施例,分別在功函數層168和268上形成阻擋層170和270(其也可為黏著層)。個別製程亦繪示於第17圖的製程流程300中的製程320。根據一些實施例,阻擋層170和270可為含金屬層,其可以氮化鈦形成。阻擋層170和270的材料可具有高電阻,而因此也被稱為高電阻導電層。也可使用如氮化鉭的其他材料。根據一些實施例,使用原子層沉積、化學氣相沉積、或其他類似方法形成阻擋層170和270。阻擋層170和270可為相同含金屬層的部分,其以相同材料並具有相同厚度同時地形成,或使用不同材料及/或具有不同厚度分開地形成。
根據一些實施例,由於開口159很狹窄,阻擋層170完全地填入剩餘的開口159(第8B圖)。另一方面,由於開口259較寬,在第9圖中的阻擋層270部分填入剩餘的開口259(第8B圖)。
接著,進行間隙填入製程以將金屬層272填入剩餘的開口259,其完全地填入開口259。在形成金屬層272的相同製程中,也沉積金屬層172。由於開口159已被完全地填入,在阻擋層170上且在開口159(第8B圖)之外沉積金屬層172。根據一些實施例,金屬層172和272的形成包括使用例如原子層沉積,接著使用如化學氣相沉積的其他方法的沉積製程成長成核層。可以低電阻導電材料(其可為金屬),如鎢、鈷、或其組合,形成金屬層172和272。在一使用鎢的範例製程中,製程氣體可包括WF6和H2,和如氬氣的載子氣體。
在形成金屬層172和272後,進行平坦化製程(如化學機械拋光製程或機械研磨製程)以移除沉積膜層的多餘部分,如第9圖所示,所得的閘極堆疊174和274如第10圖所示。閘極堆疊174和274分別包括閘極介電質162/164和 262/264,且分別包括閘極電極176和276。
第11圖繪示了在閘極堆疊174和274和閘極間隔物146和246上進行第一回蝕製程,其中以箭頭77代表蝕刻。個別製程繪示於第17圖的製程流程300中的製程322。相應地產生凹槽161和261。第一回蝕製程可包括乾蝕刻製程及/或濕蝕刻製程。再者,蝕刻可為等向性(isotropic)或異向性。根據本揭露的一些實施例,進行回蝕製程所使用的蝕刻劑蝕刻閘極間隔物146和246和閘極堆疊174和274,但不蝕刻接觸蝕刻停止層58和層間介電質60。根據一些實施例,當使用乾蝕刻製程時,蝕刻氣體包括氟基蝕刻劑,如CF4、C2F6、NF3、或其他類似材料、或其組合。根據一些實施例,當使用濕蝕刻製程時,蝕刻化學品可包括稀釋後的HF溶液、氨水溶液、或其組合。根據一些實施例,在第一回蝕製程後,閘極堆疊174(或274)的高度為H1,其可介於約8nm和16nm之間的範圍。由凸出鰭片136(或236)的頂面至層間介電質60的頂面的垂直距離以H2代表。H1和H2之間的比例可介於約0.1和0.25之間的範圍。凹蝕深度D1(或D2)可介於約50nm和80nm之間的範圍。應理解的是,凹蝕深度D1的數值不能太高或太低。若其數值太高,可能不利地移除閘極堆疊174和274的一些部分(如水平部分),造成元件失效。若其數值太低,則產生不足的凹蝕,無法容納後續填入的低電阻導電層。
在如第11圖所示的第一回蝕製程後,進行第二回蝕製程,如第12圖所示,其中以箭頭77’代表蝕刻。個別製程繪示於第17圖的製程流程300中的製程324。因而在對應的高介電常數介電層164和264的兩側部分之間形成凹槽178和278。使用不同於第一回蝕製程所用的蝕刻氣體或蝕刻化學溶液以進行第二回蝕製程。第二回蝕製程可包括乾蝕刻製程及/或濕蝕刻製程。再者,蝕刻可為等 向性或異向性。根據本揭露的一些實施例,進行第二回蝕製程所使用的蝕刻劑蝕刻閘極電極176和276,而不蝕刻閘極間隔物146和246、高介電常數介電層164和264、接觸蝕刻停止層58、和層間介電質60。根據一些實施例,當使用乾蝕刻製程時,蝕刻氣體可包括BCl3、Cl2、WF6、或其組合。根據一些使用濕蝕刻製程的實施例,蝕刻化學品可包括氨水或其他類似材料。根據一些實施例,凹蝕深度D3(或D4)可介於約2nm和10nm之間的範圍。應理解的是,凹蝕深度D3的數值不能太高或太低。若其數值太高,可能不利地移除閘極電極176和276的一些部分(如水平部分),造成元件失效。若其數值太低,則產生不足的凹蝕,無法容納後續填入的低電阻導電層。
基於蝕刻劑在不同材料上的選擇比,閘極間隔物146的頂面146TS可齊平、高於、或低於高介電常數介電層164的頂面164TS。相似地,閘極間隔物246的頂面246TS可齊平、高於、或低於高介電常數介電層264的頂面264TS。然而,相同鰭式場效電晶體的頂面164TS和鄰近頂面264TS的高度差異很低,舉例來說,小於約2nm或1nm。頂面146TS、164TS、246TS、和264TS的一些可能的位置範例以虛線所繪示。
參考第13圖,使用選擇性沉積製程形成低電阻導電層180和280,其可為金屬層。在整個說明書中,可將低電阻導電層180和280視為個別閘極電極的部分。根據本揭露的一些實施例,以鉬、鎢、鈷、其合金、或其他類似材料形成低電阻導電層180和280。個別製程繪示於第17圖的製程流程300中的製程326。低電阻導電層180和280的電阻低於在閘極電極176和276中的膜層(其包括膜層166、266、168、268、170、和270)的電阻。分別在閘極電極176和276上,而不在閘極間隔物146和246、高介電常數介電層164和264、接觸蝕刻停止層58、 和層間介電質60的露出表面上,形成低電阻導電層180和280。根據一些示例的實施例,使用原子層沉積或化學氣相沉積進行沉積。前驅物(precursor)可包括金屬鹵化物(如五氯化鎢)和例如氫氣的還原劑(reducing agent)。沉積製程可為在升溫進行的熱製程,如介於約275℃和500℃之間的範圍。也可以在電漿開啟下進行沉積。
基於選擇性的沉積,低電阻導電層180和280可為順應層。再者,若閘極電極176和276的頂面分別為平坦的,低電阻導電層180和280可實質地平坦。替代地,低電阻導電層180和280為彎曲的,且具有依循個別下方的閘極電極176和276的頂面輪廓的形貌(topology)。選擇低電阻導電層180和280的厚度,使得低電阻導電層180和280的電阻足夠低。舉例來說,低電阻導電層180和280的厚度可介於約2nm和6nm之間的範圍。根據一些實施例,低電阻導電層180和280的頂面低於對應的高介電常數介電層164和264的頂面(邊緣),使得整體低電阻導電層180和280在對應的凹槽178和278中。這提供一些製程餘裕,以確保低電阻導電層180和280不會分別形成於凹槽178和278之外。不然,低電阻導電層180和280可延伸於閘極間隔物146和246和高介電常數介電層164和264的頂面上。若發生此情形,若製程差異造成後續形成的源極/汲極接觸插塞不良地位移至閘極間隔物146和246,後續形成的源極/汲極接觸插塞可能會與低電阻導電層180和280形成電性短路。根據替代實施例,低電阻導電層180和280的頂面(其頂面使用虛線所繪示)與對應的高介電常數介電層164和264的頂邊緣、及/或對應的閘極間隔物146和246的頂邊緣齊平。
接著,以介電材料填入剩餘的開口161/178和261/278以形成介電質填入區182和282,如第14圖所示。個別製程繪示於第17圖的製程流程300中的製 程328。可以同質低介電常數介電材料(其可以多孔氮化矽、多孔氧氮化矽、多孔氧碳化矽、或其他類似材料形成)形成介電質填入區182和282。也平坦化介電質填入區182和282,使其頂面與層間介電質60的頂面共面。介電質填入區182和282的側壁接觸接觸蝕刻停止層58的側壁。
第15圖繪示閘極接觸插塞184和284、源極/汲極矽化物區186和286、和源極/汲極接觸插塞187和287的形成。個別製程繪示於第17圖的製程流程300中的製程330。源極/汲極接觸插塞187和287的形成包括藉由蝕刻層間介電質60以露出下方接觸蝕刻停止層58的部分,然後蝕刻接觸蝕刻停止層58的露出部分以露出源極/汲極區154和254,以形成接觸開口。在後續製程中,沉積金屬層(如鈦層)以延伸進入接觸開口中。可沉積金屬氮化物阻擋層(如氮化鈦層)。然後,進行退火製程以將金屬層與源極/汲極區154和254頂部反應,以形成矽化物區186和286。接著,之前形成的金屬氮化物層殘留未被移除,或是之前形成的金屬氮化物層被移除並接著沉積新的金屬氮化物層(如氮化鈦層)。然後,填入金屬材料(如鎢、鈷、或其他類似材料)於接觸開口中,接著平坦化以移除多餘材料,獲得源極/汲極接觸插塞187和287。閘極接觸插塞184和284的形成可包括蝕刻介電質填入區182和282以露出低電阻導電層180和280,並在對應的開口中形成閘極接觸插塞184和284。閘極接觸插塞184和284也可包括擴散阻障層(如氮化鈦)和於擴散阻障層上的金屬(如銅、鎢、鈷、或其他類似材料)。因此,形成鰭式場效電晶體190和290。
第16圖繪示了鰭式場效電晶體190和290一些部分的俯視圖。繪示了閘極電極176(或276)和上方的低電阻導電層180(或280)。高介電常數介電層164(或264)的垂直部分可形成環繞對應閘極電極176(或276)和對應上 方的低電阻導電層180(或280)的環狀物。也繪示了閘極接觸插塞184(或284)、凸出鰭片136(或236)、和源極/汲極區154(或254)。
實驗結果揭示藉由在閘極電極上形成低電阻導電層,短通道電晶體的閘極電阻Rg可減少至沒有低電阻導電層的短通道電晶體的閘極電阻Rg的約10%。舉例來說,在矽晶圓上形成範例閘極,且量測對應的閘極電極的電阻值。結果顯示有形成低電阻導電層的情形下,100%的閘極樣品具有小於第一數值的電阻值。相較之下,若沒有形成低電阻導電層,多於50%的閘極電極具有高於四倍第一數值的電阻值。
本揭露的實施例具有一些優勢的特徵。在短通道元件中,以高電阻膜層(如功函數層和阻擋層)形成閘極電極,而在閘極電極中可不存在低電阻的膜層。所得的閘極電極的閘極電阻Rg因此很高。對應電晶體的性能因此顯著地降低。根據本揭露的一些實施例,在閘極電極上形成低電阻膜層以減少閘極電阻Rg。
根據本揭露的一些實施例,一種半導體元件,包括:第一半導體鰭片;於第一半導體鰭片的側壁和頂面上的第一閘極堆疊,其中第一閘極堆疊包括:高介電常數介電層;重疊高介電常數介電層的第一底部的功函數層;以及重疊功函數層的第二底部的第一阻擋層;以及重疊並接觸功函數層和第一阻擋層的第一低電阻金屬層,其中第一低電阻金屬層具有第一電阻值,第一電阻值低於功函數層和第一阻擋層的第二電阻值;以及接觸第一閘極堆疊的側壁的第一閘極間隔物。在一實施例中,半導體元件更包括接觸蝕刻停止層,包括接觸第一閘極間隔物的側壁的垂直部分,其中垂直部分延伸高於第一閘極間隔物。在一實施例中,半導體元件更包括於第一閘極間隔物和高介電常數介電層 上並與其接觸的介電質填入區,其中介電質填入區更接觸接觸蝕刻停止層的垂直部分。在一實施例中,介電質填入區包括低介電常數介電材料。在一實施例中,高介電常數介電層具有第一頂邊緣,且第一閘極間隔物具有第二頂邊緣,且其中第一頂邊緣高於第二頂邊緣。在一實施例中,高介電常數介電層具有第一頂邊緣,且第一閘極間隔物具有第二頂邊緣,且其中第一頂邊緣低於第二頂邊緣。在一實施例中,高介電常數介電層具有第一頂邊緣,且第一閘極間隔物具有第二頂邊緣,且其中第一頂邊緣與第二頂邊緣齊平。在一實施例中,半導體元件更包括電晶體的第二閘極堆疊,第二閘極堆疊包括:以和第一阻擋層的相同材料形成的第二阻擋層;介於第二阻擋層的兩側垂直部分之間的金屬區;以及重疊並接觸第二阻擋層和金屬區的第二低電阻金屬層,其中以一相同材料形成第一低電阻金屬層和第二低電阻金屬層。
根據本揭露的一些實施例,一種半導體元件,包括:高介電常數介電層;於高介電常數介電層上並與其接觸的功函數層;於功函數層上並與其接觸的阻擋區;於功函數層和阻擋區上並與其接觸的金屬層,其中金屬層為平坦的,且金屬層不含延伸進入阻擋區的部分;於高介電常數介電層的側壁上的閘極間隔物;以及重疊並接觸閘極間隔物、高介電常數介電層、和金屬層的介電質填入區。在一實施例中,介電質填入區延伸於高介電常數介電層的相對兩部分之間。在一實施例中,介電質填入區係以低介電常數介電材料形成。在一實施例中,半導體元件更包括:於高介電常數介電層的一側上的源極/汲極區;以及接觸蝕刻停止層,包括水平部分於源極/汲極區上並與其接觸,和垂直部分接觸高介電常數介電層和介電質填入區。在一實施例中,半導體元件更包括重疊並接觸接觸蝕刻停止層的水平部分的層間介電質,其中層間介電質的頂面高 於閘極間隔物的頂面。在一實施例中,功函數層包括兩側側壁部分,且介於兩側側壁部分之間並重疊功函數層之底部的所有材料包括氮化鈦。
根據本揭露的一些實施例,一種半導體元件的形成方法,包括:於半導體區上形成虛置閘極堆疊;於虛置閘極堆疊的兩側上形成多個閘極間隔物;以替換閘極堆疊替換虛置閘極堆疊,其中替換閘極堆疊包括:閘極介電層;於閘極介電層上的功函數層;以及於功函數層上的高電阻導電層;回蝕替換閘極堆疊和閘極間隔物;以及於功函數層和高電阻導電層上沉積金屬層。在一實施例中,回蝕替換閘極堆疊和閘極間隔物包括:進行第一回蝕製程以凹蝕閘極間隔物和替換閘極堆疊;以及進行第二回蝕製程以凹蝕功函數層和高電阻導電層,其中閘極間隔物和閘極介電層在第二回蝕製程中未被蝕刻。在一實施例中,金屬層低於閘極介電層的頂面。在一實施例中,在沉積金屬層期間,於功函數層和高電阻導電層上選擇性地沉積金屬層,而當沉積金屬層時未沉積於所露出的介電材料上。在一實施例中,閘極介電層包括形成具有四側的環狀物的垂直部分,且金屬層接觸所有四側的側壁。在一實施例中,沉積金屬層包括沉積鎢層。
以上概述數個實施例之部件,以便在所屬技術領域中具有通常知識者可以更加理解本揭露的觀點。在所屬技術領域中具有通常知識者應理解,他們能輕易地以本揭露實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本揭露的精神與範圍,且他們能在不違背本揭露之精神和範圍下,做各式各樣的改變、取代和替換。
10:晶圓
20:(半導體)基底
58:接觸蝕刻停止層
60:層間介電質
100,200:元件區
124A,224A:頂面
136,236:(凸出)鰭片
146,246:閘極間隔物
154,254:磊晶區(源極/汲極區)
161,261:凹槽(開口)
162,262:介面層
164,264:高介電常數介電層
166,266:黏著層(擴散阻障層)
168,268:功函數層
170,270:阻擋層
272:金屬層
178,278:凹槽(開口)
180,280:低電阻導電層

Claims (13)

  1. 一種半導體元件,包括:一第一半導體鰭片;一第一閘極堆疊,於該第一半導體鰭片的側壁和頂面上,其中該第一閘極堆疊包括:一高介電常數介電層;一功函數層,重疊該高介電常數介電層的一第一底部;以及一第一阻擋層,重疊該功函數層的一第二底部;以及一第一低電阻金屬層,重疊並接觸該功函數層和該第一阻擋層,其中該第一低電阻金屬層具有一第一電阻值,該第一電阻值低於該功函數層和該第一阻擋層的一第二電阻值;一第一閘極間隔物,接觸該第一閘極堆疊的一第一側壁;一源極/汲極區,延伸進入該第一半導體鰭片;以及一接觸蝕刻停止層,於該源極/汲極區上並與其物理接觸,其中該接觸蝕刻停止層包括一垂直部分物理接觸該第一閘極間隔物的一第二側壁,其中該垂直部分延伸高於該第一閘極間隔物。
  2. 如請求項1之半導體元件,更包括一介電質填入區,於該第一閘極間隔物和該高介電常數介電層上並與其接觸,其中該介電質填入區更物理接觸該接觸蝕刻停止層的該垂直部分。
  3. 如請求項2之半導體元件,其中該介電質填入區包括一低介電常數介電材料。
  4. 如請求項1之半導體元件,其中該高介電常數介電層具有一第一 頂邊緣,且該第一閘極間隔物具有一第二頂邊緣,且其中該第一頂邊緣高於該第二頂邊緣。
  5. 如請求項1之半導體元件,其中該高介電常數介電層具有一第一頂邊緣,且該第一閘極間隔物具有一第二頂邊緣,且其中該第一頂邊緣低於該第二頂邊緣。
  6. 如請求項1之半導體元件,其中該高介電常數介電層具有一第一頂邊緣,且該第一閘極間隔物具有一第二頂邊緣,且其中該第一頂邊緣與該第二頂邊緣齊平。
  7. 如請求項1之半導體元件,更包括一電晶體的一第二閘極堆疊,該第二閘極堆疊包括:一第二阻擋層,以和該第一阻擋層的一相同材料形成;一金屬區,介於該第二阻擋層的兩側垂直部分之間;以及一第二低電阻金屬層,重疊並接觸該第二阻擋層和該金屬區,其中該第一低電阻金屬層和該第二低電阻金屬層以一相同材料形成。
  8. 一種半導體元件,包括:一高介電常數介電層;一功函數層,於該高介電常數介電層上並與其接觸;一阻擋區,於該功函數層上並與其接觸;一金屬層,於該功函數層和該阻擋區上並與其接觸,其中該金屬層為平坦的,且該金屬層不含延伸進入該阻擋區的部分;一閘極間隔物,於該高介電常數介電層的側壁上;一介電質填入區,重疊並接觸該閘極間隔物、該高介電常數介電層、和該金 屬層;一源極/汲極區,於該高介電常數介電層的一側上;以及一接觸蝕刻停止層,包括一水平部分於該源極/汲極區上並與其物理接觸,以及一垂直部分延伸高於該閘極間隔物。
  9. 如請求項8之半導體元件,其中該介電質填入區延伸於該高介電常數介電層的相對兩部分之間。
  10. 如請求項8之半導體元件,其中該垂直部分物理接觸該閘極間隔物和該介電質填入區。
  11. 如請求項8之半導體元件,更包括一層間介電質,重疊並物理接觸該接觸蝕刻停止層的該水平部分,其中該層間介電質的一頂面高於該閘極間隔物的一頂面。
  12. 一種半導體元件的形成方法,包括:形成一虛置閘極堆疊於一半導體區上;形成多個閘極間隔物於該虛置閘極堆疊的兩側上;以一替換閘極堆疊替換該虛置閘極堆疊,其中該替換閘極堆疊包括:一閘極介電層;一功函數層,於該閘極介電層上;以及一高電阻導電層,於該功函數層上;回蝕該替換閘極堆疊和該閘極間隔物,包括:進行一第一回蝕製程以凹蝕該閘極間隔物和該替換閘極堆疊;以及進行一第二回蝕製程以凹蝕該功函數層和該高電阻導電層,其中該閘極間隔物和該閘極介電層在該第二回蝕製程中未被蝕刻;以及 沉積一金屬層於該功函數層和該高電阻導電層上。
  13. 如請求項12之半導體元件的形成方法,其中在沉積該金屬層期間,選擇性地沉積該金屬層於該功函數層和該高電阻導電層上,而當沉積該金屬層時未沉積於所露出的介電材料上。
TW109127190A 2019-09-16 2020-08-11 半導體元件及其形成方法 TWI767293B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/571,879 US11302818B2 (en) 2019-09-16 2019-09-16 Gate resistance reduction through low-resistivity conductive layer
US16/571,879 2019-09-16

Publications (2)

Publication Number Publication Date
TW202114229A TW202114229A (zh) 2021-04-01
TWI767293B true TWI767293B (zh) 2022-06-11

Family

ID=74686651

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109127190A TWI767293B (zh) 2019-09-16 2020-08-11 半導體元件及其形成方法

Country Status (5)

Country Link
US (2) US11302818B2 (zh)
KR (1) KR102379097B1 (zh)
CN (1) CN112510091A (zh)
DE (1) DE102019127213A1 (zh)
TW (1) TWI767293B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11302814B2 (en) * 2020-01-23 2022-04-12 Nanya Technology Corp. Semiconductor device with porous dielectric structure and method for fabricating the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150118836A1 (en) * 2013-10-28 2015-04-30 United Microelectronics Corp. Method of fabricating semiconductor device
US20160056262A1 (en) * 2014-08-20 2016-02-25 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate and manufuacturing process thereof
US20180174922A1 (en) * 2016-12-15 2018-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET Structures and Methods of Forming the Same
US20180175165A1 (en) * 2016-12-15 2018-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Etching Back and Selective Deposition of Metal Gate

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9202691B2 (en) * 2013-01-18 2015-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having modified profile metal gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9570568B2 (en) 2015-05-28 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor component and method for fabricating the same
US9735242B2 (en) * 2015-10-20 2017-08-15 Globalfoundries Inc. Semiconductor device with a gate contact positioned above the active region
US9620610B1 (en) 2015-10-28 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET gate structure and method for fabricating the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US10879354B2 (en) * 2016-11-28 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and forming method thereof
US10510598B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
KR20180102273A (ko) 2017-03-07 2018-09-17 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10141225B2 (en) 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity
TWI729128B (zh) * 2017-05-10 2021-06-01 聯華電子股份有限公司 半導體結構及其製作方法
KR102487549B1 (ko) 2017-11-23 2023-01-11 삼성전자주식회사 트랜지스터들을 포함하는 반도체 소자

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150118836A1 (en) * 2013-10-28 2015-04-30 United Microelectronics Corp. Method of fabricating semiconductor device
US20160056262A1 (en) * 2014-08-20 2016-02-25 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate and manufuacturing process thereof
US20180174922A1 (en) * 2016-12-15 2018-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET Structures and Methods of Forming the Same
US20180175165A1 (en) * 2016-12-15 2018-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Etching Back and Selective Deposition of Metal Gate

Also Published As

Publication number Publication date
DE102019127213A1 (de) 2021-03-18
TW202114229A (zh) 2021-04-01
US20210083118A1 (en) 2021-03-18
US11916146B2 (en) 2024-02-27
KR20210032874A (ko) 2021-03-25
KR102379097B1 (ko) 2022-03-25
CN112510091A (zh) 2021-03-16
US20220238715A1 (en) 2022-07-28
US11302818B2 (en) 2022-04-12

Similar Documents

Publication Publication Date Title
TWI731284B (zh) 半導體結構及形成積體電路結構的方法
TWI668744B (zh) 半導體裝置及其形成方法
TWI685023B (zh) 形成源極/汲極區域的佈植於不同電晶體的方法
US10868138B2 (en) Metal gate formation through etch back process
TWI724611B (zh) 積體電路裝置及其形成方法
TWI763097B (zh) 半導體結構及其形成方法
US20220181440A1 (en) Transistors with Stacked Semiconductor Layers as Channels
US20210175125A1 (en) Slot Contacts and Method Forming Same
TWI751611B (zh) 積體電路裝置及其製造方法
TWI808374B (zh) 半導體裝置及其形成方法
US11145728B2 (en) Semiconductor device and method of forming same
TWI767293B (zh) 半導體元件及其形成方法
US20220278224A1 (en) Etching Back and Selective Deposition of Metal Gate
TWI785590B (zh) 積體電路裝置及其製造方法
US20240162349A1 (en) Gate resistance reduction through low-resistivity conductive layer
KR102524729B1 (ko) 후면 전력 레일을 갖는 트랜지스터를 위한 구조물 및 방법
KR102546906B1 (ko) Finfet 디바이스 및 방법
TW202143392A (zh) 半導體裝置及其形成方法
KR20230069785A (ko) 소스/드레인 콘택 형성에서의 듀얼 다마신 구조물