TW201439559A - 具有犧牲凸塊之封裝完整度監視器 - Google Patents

具有犧牲凸塊之封裝完整度監視器 Download PDF

Info

Publication number
TW201439559A
TW201439559A TW103107855A TW103107855A TW201439559A TW 201439559 A TW201439559 A TW 201439559A TW 103107855 A TW103107855 A TW 103107855A TW 103107855 A TW103107855 A TW 103107855A TW 201439559 A TW201439559 A TW 201439559A
Authority
TW
Taiwan
Prior art keywords
scan chain
package
package integrity
integrity monitor
test signal
Prior art date
Application number
TW103107855A
Other languages
English (en)
Other versions
TWI623762B (zh
Inventor
Donnacha Lowney
La Torre Marites De
Original Assignee
Xilinx Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Xilinx Inc filed Critical Xilinx Inc
Publication of TW201439559A publication Critical patent/TW201439559A/zh
Application granted granted Critical
Publication of TWI623762B publication Critical patent/TWI623762B/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2896Testing of IC packages; Test features related to IC packages
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • G01R31/31855Interconnection testing, e.g. crosstalk, shortcircuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15192Resurf arrangement of the internal vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Abstract

一種具有封裝完整度監視功能的設備,其中含有:一封裝,此者具有一經由複數個凸塊連接至一中介層的晶粒,其中該凸塊的至少一部份含有虛擬凸塊;一封裝完整度監視器,此者具有一用以傳送測試信號的傳送器和一用以接收該測試信號的接收器;以及一第一掃描鏈,此者含有在該晶粒內及該中介層內串聯連接該虛擬凸塊之一部份的複數個交替互連,其中該第一掃描鏈具有一第一末端,其耦接於該封裝完整度監視器的傳送器,和一第二末端,其耦接於該封裝完整度監視器的接收器。

Description

具有犧牲凸塊之封裝完整度監視器
本案所述的具體實施例是關於用以監視積體電路(IC)封裝之封裝完整度的系統。
在許多積體電路系統中,欲將一晶粒連接至一中介層可藉由將該晶粒上的微凸塊連附於該中介層上的相對應接片所達成。然而,在組裝過程中可能會由於晶粒上的微凸塊與中介層上的接片間的連附失敗而造成良率損失。連附失敗雖或許會發生在晶粒上的任何微凸塊,然由於在晶粒之外部邊緣處的機械應力增加,這項問題更可能會出現在位於晶粒之邊緣處的微凸塊。此項問題致使一緩衝地帶的運用,其含有環繞於晶粒邊緣處的犧牲虛擬凸塊。
除提供緩衝地帶並滿足最低密度要求之外,晶粒上的虛擬凸塊通常並不會執行其他功能。然而,本案申請人認定若能運用虛擬凸塊以協助監視一裝置的封裝完整度確為較佳。如此可提供一種早期警示系統,藉以協助決定何時晶粒可能會面臨失敗或是何時晶粒因錯誤組裝之故而已告失敗。
一種具有封裝完整度監視功能的設備,其中含有:一封裝, 此者具有一經由複數個凸塊連接至一中介層的晶粒,其中該凸塊的至少一部份含有虛擬凸塊;一封裝完整度監視器,此者具有一用以傳送測試信號的傳送器和一用以接收該測試信號的接收器;以及一第一掃描鏈,此者含有在該晶粒內及該中介層內串聯連接該虛擬凸塊之一部份的複數個交替互連,其中該第一掃描鏈具有一第一末端,其耦接於該封裝完整度監視器的傳送器,和一第二末端,其耦接於該封裝完整度監視器的接收器。
選擇性地,該封裝完整度監視器可經配置以若在該第一掃描鏈中出現不連續性時提供失敗信號。
選擇性地,該裝置可進一步含有一第二掃描鏈,其中該封裝完整度監視器係經配置以決定該第一掃描鏈及該第二掃描鏈何者之中發生錯誤。
選擇性地,該封裝完整度監視器可經配置以在該封裝的操作過程中傳送額外的信號。
選擇性地,該封裝完整度監視器可進一步含有一時間至數位轉換器,此者係經配置以測量該測試信號走過該第一掃描鏈的時間。
選擇性地,該封裝完整度監視器可經配置以若通過該第一掃描鏈的所測得時間是位於一可容允範圍的外部則提供一失敗信號。
選擇性地,該第一掃描鏈可含有一震盪器網路內的負載。
選擇性地,該封裝完整度監視器可經配置以測量該震盪器網路的震盪頻率,並且若該震盪頻率位於一可容允範圍的外部則提供一失敗信號。
選擇性地,該設備進一步包含一第二掃描鏈,其中該虛擬凸 塊的至少一者為該第一掃描鏈之一部份亦是該第二掃描鏈之一部份。
選擇性地,該第一掃描鏈可構成一第一圓環,並且該設備可進一步包含一第二掃描鏈,此者構成一環繞於該第一圓環的第二圓環。
一種具有封裝完整度監視功能的設備,其中含有:一基板,此者經由複數個凸塊連接至一中介層的晶粒,其中該凸塊的至少一部份含有虛擬凸塊;一封裝完整度監視器,此者具有一用以傳送測試信號的傳送器和一用以接收該測試信號的接收器;以及一第一掃描鏈,此者含有在該中介層內及該基板內串聯連接該虛擬凸塊之一部份的複數個交替互連,其中該第一掃描鏈具有一第一末端,其耦接於該封裝完整度監視器的傳送器,和一第二末端,其耦接於該封裝完整度監視器的接收器。
選擇性地,該封裝完整度監視器可經配置以若在該第一掃描鏈中出現不連續性時提供失敗信號。
選擇性地,該封裝完整度監視器可進一步含有一時間至數位轉換器,此者係經配置以測量該測試信號走過該第一掃描鏈的時間,並且其中該封裝完整度監視器可經配置以若通過該第一掃描鏈的所測得時間是位於一可容允範圍的外部則提供一失敗信號。
選擇性地,該第一掃描鏈可含有一震盪器網路內的負載,並且其中該封裝完整度監視器可經配置以測量該震盪器網路的震盪頻率,並且若該震盪頻率位於一可容允範圍的外部則提供一失敗信號。
一種用以監視一封裝之整合度的方法,該封裝包含一經由複數個凸塊連接至一中介層的晶粒,其中該凸塊的至少一部份含有虛擬凸塊,該方法包含:在位於該封裝處的封裝完整度監視器產生一測試信號; 以及經由一第一掃描鏈傳送該測試信號,該第一掃描鏈含有在該晶粒內及該中介層內串聯連接該虛擬凸塊之一部份的複數個交替互連。
選擇性地,該方法可進一步包含由該封裝完整度監視器接收該測試信號。
選擇性地,該方法可進一步包含若該封裝完整度監視器並未自該第一掃描鏈收到該測試信號則提供一失敗信號。
選擇性地,該測試信號可為在該封裝的操作過程中所傳送。
選擇性地,該方法可進一步包含:利用一時間至數位轉換器以測量該測試信號走過該第一掃描鏈的時間;以及若該所測得時間是位於一可容允範圍的外部則提供一失敗信號。
選擇性地,該第一掃描鏈可含有一震盪器網路上的負載,並且該方法可進一步包含若該震盪器網路的震盪頻率位於一可容允範圍的外部則提供一失敗信號。
自閱讀後載詳細說明將能顯知其他與進一步的特點和特性。
100‧‧‧IC封裝
102‧‧‧晶粒
104‧‧‧中介層
106、108‧‧‧微凸塊
110‧‧‧凸塊
202‧‧‧封裝完整度監視器
204‧‧‧傳送器
206‧‧‧接收器
208、208-1、208-2、208-3、208-4、208-5‧‧‧掃描鏈
210‧‧‧交替互連
212‧‧‧互連
301-306‧‧‧項目
所附圖式說明多項具體實施例的設計與運用方式,其中類似構件是按共同的參考編號所參照。然該繪圖並不必然地依循比例所繪。為更佳地瞭解如何達成前述與其他優點和目的,本文中將依隨附圖式所示呈現更為特定地描述的具體實施例。該圖式僅描繪出多項示範性具體實施例,且因而不應將此視為限制本案的申請專利範圍。
圖1-1及1-2說明一IC封裝和基板之範例的上視圖與截面視圖;圖2-1說明一具有一封裝完整度監視器之IC封裝的截面視圖; 圖2-2說明一具有一封裝完整度監視器之IC封裝的上視圖;圖2-3說明一具有一封裝完整度監視器和額外掃描鏈之IC封裝的上視圖;以及圖3說明一利用虛擬凸塊以對一IC封裝的封裝完整度進行監視之方法的流程圖。
後文中將參照圖式以說明各式特性。應注意到該圖式並非依比例所繪製,並且具有類似結構或功能的構件在全部圖式裡是以相仿參考編號所表示。應注意到該圖式僅欲有助於說明該特性。其並非欲以作為本發明的窮舉性說明或為以限制本發明的範疇。此外,示範性具體實施例無須具備全部的所示特性或優點。併同於一特定具體實施例所描述的特性或優點並不必然地受限於該具體實施例,而是能夠在任何其他具體實施例中加以實作,即使未經如此說明或者若未顯明地描述亦然。同時,在本說明書全篇中對於「一些具體實施例」或「其他具體實施例」之參照是指關聯於該具體實施例所描述的特定特徵、結構、材料或特性係經納入在至少一具體實施例之內。因此,在本說明書全篇中不同位置處出現的「在一些具體實施例裡」或「在其他具體實施例裡」語詞並非必然地是參照於相同的單一或多項具體實施例。
圖1-1及1-2說明一IC封裝100的上視圖與截面視圖。該IC封裝含有一晶粒102及一中介層104。該晶粒102是藉由將該晶粒102上的複數個微凸塊106及108連接至該中介層104上的相對應接片以架置於該中介層104上。在一些情況下,該中介層104本身可透過複數個凸塊(即如C4 球體)110(如圖1-2中所示)以架置於一基板上(未予圖示)。
然在IC封裝的組裝過程中,可能會由於晶粒102上的微凸塊與中介層104上的接片間的連附失敗而造成良率損失。連附失敗雖可能發生在晶粒102上的任何微凸塊,然確更可能出現在位於晶粒102之邊緣處的微凸塊,因為在這些位置處的機械應力會增加。基於此項理由,可提供一緩衝地帶,此地帶在該晶粒102的外部範圍處(即如鄰次於該晶粒102的外部邊緣處)含有多個犧牲虛擬凸塊圓環,而位於該晶粒102之內部範圍處(即如遠離於該晶粒102之邊緣)的作用微凸塊則可對該晶粒102上的作用電路構件提供功能性支援。例如,圖FIG.1-1說明該IC封裝100在該晶粒102的外部範圍上具有三圈的虛擬凸塊106(其中該外部範圍是鄰次於該晶粒102的外部邊緣),而作用微凸塊108則是位於該晶粒102的內部範圍處(其中該內部範圍是遠離於該晶粒102的外部邊緣)。
在該IC封裝100中,該犧牲虛擬凸塊106不含有作用電路構件,同時除提供緩衝地帶和滿足最低密度要求以外並無其他用途。然而,確可構思以利用這些未用虛擬凸塊來協助監視封裝完整度並偵測IC封裝內的潛在失敗問題。
在IC封裝的組裝作業中,可採用一種「已知良好晶粒(known good die,KGD)」策略。尤其,首先可對個別的晶粒進行檢測,然後將通過這些檢測的已知良好晶粒組裝至中介層上以構成IC封裝。在組裝完畢後,再依整體方式檢測各個封裝。
不過,在已知良好晶粒決定作業與封裝測試之間通常並不會進行中間性檢測。例如,在將晶粒組裝至中介層上的階段處一般說來是不 會進行測試以檢查晶粒對中介層的連接性。同時,在實際的IC封裝操作過程中,通常也不會有進一步測試以評鑑晶粒與中介層之間的連接性。而利用IC封裝內的現有犧牲虛擬凸塊可提供中間性檢測俾測試晶粒至中介層連接性,並且可供在IC封裝的操作過程中對晶粒至中介層連接性進行監視。
圖2-1說明一具有一封裝完整度監視器之IC封裝100的截面視圖。該IC封裝100含有一晶粒102,此者含有一封裝完整度監視器202和一或更多個掃描鏈208。該IC封裝100亦含有一中介層104,此者透過多個微凸塊(即如虛擬凸塊106以及像是圖1-1中所示之微凸塊108的作用凸塊)而耦接於該晶粒102。該封裝完整度監視器202含有一傳送器204及一接收器206。在一些情況下,該封裝完整度監視器202可為按如一晶片或一晶片(內)的元件所實作。在所示附圖中,該傳送器204和該接收器206係經繪示為相同元件的一部份(即如其可為相同硬體模組的一部份)。或另者,該封裝完整度監視器202的傳送器204以及該封裝完整度監視器202的接收器206可為分離且彼此相隔,同時可設置在該IC封裝100的不同區域處。各個掃描鏈208係經連接至該掃描鏈208之一末端上的傳送器204,並且連接至該掃描鏈208之另一末端上的接收器206。該封裝完整度監視器202係經配置以自該傳送器204傳送走過一掃描鏈208的測試信號,並且在該接收器206處接收來自該掃描鏈208之另一末端的測試信號。
該掃描鏈208各者可藉由該晶粒102內的交替互連210以及該中介層104內的互連210串聯連接該複數個虛擬凸塊106。如此可產生一條沿該掃描鏈208走過各個虛擬凸塊的信號路徑。
若該掃描鏈208內出現中斷,則由該傳送器204傳送至該掃 描鏈208的測試信號將無法抵達該接收器206。這項在掃描鏈208內發生中斷的問題雖可能是由於該晶粒102處之互連210的失敗或者該中介層104處之互連212的失敗所造成,然在大部份情況下這是因為該掃描鏈208內之虛擬凸塊206其一者內的連附失敗而致。在組裝過程中有可能會出現這些連附失敗。因此,在一些情況下,該封裝完整度監視器202可在該IC封裝100的製造過程中進行此(等)測試信號的傳輸作業。連附失敗也可能是在該IC封裝100的操作過程中由於電壓、溫度和其他因素所造成的應力之故而發生。因此,在其他情況下,該封裝完整度監視器202可在該IC封裝100的操作壽命過程中進行此(等)測試信號的傳輸作業。在一實作中,該封裝完整度監視器202可為配置以週期性地,即如按照規則性的間隔,或是回應於所偵得事件(像是含有該IC封裝100的裝置通電啟動),來產生並傳送該(等)測試信號。又在一些其他情況下,可在該IC封裝100的製造過程中,以及在該IC封裝100的操作壽命過程中,進行該封裝完整度監視器202的測試信號傳輸作業。然無論是在何時傳送該(等)測試信號,當發生連附失敗時,該封裝完整度監視器202就會偵測到相對應之掃描鏈208內的不連續性並且送返一錯誤。
由於該虛擬凸塊106並非該IC封裝100內(除該封裝完整度監視器202外)之任何作用裝置電路的一部份,因此一虛擬凸塊106裡的連附失敗並不必然地表示在該裝置上出現實際的失敗問題。不過,在該IC封裝100的操作過程中該虛擬凸塊106之其一者內的失敗可代表應力增加,或者是該晶粒102上含有重要作用凸塊的其他微凸塊上出現迫近失敗。因此,會希望能夠監視該虛擬凸塊106的連接性,藉以偵測出該作用凸塊裡的潛 在未來失敗問題。位於該晶粒102上的封裝完整度監視器202可供在當該IC封裝100為操作中時產生測試信號,因而當該IC封裝100內的作用電路為運作中時可經由該掃描鏈208對該虛擬凸塊106的連接性進行監視。
對於該測試信號可採用各式傳送及接收電路的組合以驅動該掃描鏈208。在一些情況下,可利用具有足夠驅動強度以驅動一互連結構之RC網路的傳送緩衝器以及一介接於該封裝完整度監視器202之數位邏輯的接收緩衝器。在檢測過程中可傳送一邏輯高位,而若將此記錄在該接收緩衝器處則可獲致「通過」。該接收緩衝器的輸入可具有弱的下拉電阻器(pull-down resistor),藉以在當發生失敗事件時能夠清晰地定義緩衝器狀態。
圖2-2說明一具有三個虛擬凸塊106圓環之IC封裝100的上視圖。這三個虛擬凸塊106圓環是位在該晶粒102的外部範圍處(即如鄰次於該晶粒102的邊緣)。該IC封裝100亦含有多個作用凸塊(像是圖1-1內所示的凸塊108),這些在本圖中省略以明確說明。即如圖2-2所示,在此有三個掃描鏈208-1、208-2及208-3,各者是針對於各個虛擬凸塊106圓環。各個掃描鏈208-1、208-2及208-3在一末端上是連接至位於該封裝完整度監視器202內的一傳送器(未予圖示),而在另一末端上則為連接至位於該封裝完整度監視器202內的一接收器(未予圖示)。在一些情況下,該封裝完整度監視器202係經配置以針對各個掃描鏈208產生分別的測試信號。而在其他情況下,該封裝完整度監視器202可為配置以產生透過所有掃描鏈上傳送的相同測試信號。各個掃描鏈208含有位於該晶粒102處的複數個交替互連210(「晶粒互連」)以及位於該中介層104處的複數個交替互連212(「中介層互連」),因此沿各個掃描鏈208上的所有虛擬凸塊106皆為串聯連接。 該掃描鏈208內之任何虛擬凸塊106處的不連續性都將會造成整個掃描鏈208內的不連續性。
在該封裝完整度監視器202內的接收器可含有多工設施,藉以接收來自各個個別掃描鏈(208-1、208-2、208-3)的信號。若在其一掃描鏈208內出現不連續性,則在該封裝完整度監視器202處的接收器將能決定哪個掃描鏈208含有此不連續性。由於各個掃描鏈208會覆蓋一圓環內的所有虛擬凸塊106,因此該封裝完整度監視器202將能決定該項失敗是發生在哪個圓環裡。在一些情況下,該封裝完整度監視器202內的接收器可含有一暫存器以供進行結果診斷,而該暫存器裡的位元是依照經過哪些掃描鏈208且哪些出現失敗的方式所設定。在其他情況下,該封裝完整度監視器202可含有一探測接片,或者該IC封裝100可含有一經耦接於該封裝完整度監視器202的探測接片。然後可運用「自動化檢測設備(ATE)」以測量該探測接片上的電壓位準,這可表示出一特定掃描鏈是否通過或失敗。
圖2-3說明一具有額外掃描鏈208-4及208-5之IC封裝100的上視圖。該IC封裝100亦含有如前文參照於圖FIG.2-2所討論的掃描鏈208-1至208-3,然在此省略以明確說明。即如圖2-3所示,該掃描鏈208-4及208-5具有不規則樣式。在一些情況下,是無須將所有的虛擬凸塊106皆納入在一掃描鏈208內。相反地,一掃描鏈208可為配置(即如以具有某一展幅及/或在某個位置處)以覆蓋該晶粒102上較為關注的一(些)區域。例如,該晶粒上的一(些)區域,像是該晶粒102的角落處,由於在這些區域內的機械性應力量值較大之故,因此對於機械性連附失敗來說可被視為是具有較高的風險度。而其他區域則由於鄰近該裝置內的重要作用電路構件所以被 視為是具有較高的關注度。掃描鏈208-4及208-5可為配置以連續地串連位在這些所關注區域之內的虛擬凸塊106。
在一些情況下,該掃描鏈208-4和208-5可為選擇性地配置以重疊於該掃描鏈208-1、208-2或208-3。在這種情況下,有些虛擬凸塊106是可能為一個以上掃描鏈(即如兩個掃描鏈)的一部份。
該封裝完整度監視器202可含有用以診斷來自不同掃描鏈208之結果的邏輯。如此可供將失敗的位置限制至較少數量的虛擬凸塊106,或甚限制至單一個虛擬凸塊106。例如,在圖2-3所示的IC封裝100中,若該封裝完整度監視器202偵測到在該掃描鏈208-3上出現失敗並且在該掃描鏈208-5上出現失敗,則可將該失敗的來源限制至具有兩個虛擬凸塊106(亦即該掃描鏈208-3及208-5兩者所共用的兩個虛擬凸塊106)的區域。 在一些情況下,可選擇性地利用圖形理論來決定最有效率的掃描鏈集合以將測試覆蓋面積最大化。
在一些情況下,該封裝完整度監視器202可為選擇性地配置以傳送該(等)測試信號,並且藉由測量該(等)所收信號的不同特點來偵測出潛在性錯誤。例如,在一些情況下,該封裝完整度監視器202可含有一時間至數位轉換器(TDC)。此TDC可為配置以在當測試信號進入一掃描鏈208內時啟動,並且在當位於該掃描鏈208之其他側上的接收器處收到該測試信號時停止。如此可讓該封裝完整度監視器202能夠測量出一測試信號傳播通過一特定掃描鏈208的時間量值。
測試信號傳播穿過一掃描鏈208的所耗用時間可能會依照各種因素而定。溫度和電壓上的變化,以及作用於該微凸塊上的機械性應 力,都會對由該TDC所測得的結果造成影響。在造成該掃描鏈208內之不連續性的總體性的失敗之前,微凸塊連接的劣化可能會導致信號行旅穿過該掃描鏈208的時間超過一可容允範圍。對於一給定IC封裝來說,是有可能計算一可容允範圍,而針對一特定掃描鏈208的TDC測量作業則為其改變。在該可容允範圍之外部的測量作業可表示該掃描鏈208內的迫近失敗。 在一些其中該IC封裝具有多個掃描鏈208的情況下,其有多個對應於該個別掃描鏈208的可容允範圍。
在一些情況下,各個掃描鏈208可為選擇性地配置以作為一震盪網路內的RC負載。該震盪器可為一環繞於該掃描鏈節點的分佈式圓環震盪器。在一些情況下,可利用鎖相迴路(PLL)以產生震盪信號。該PLL可為在該封裝完整度監視器202中所實例化的自訂PLL。而在其他情況下,可利用該晶粒102內的現有PLL以將該震盪器信號多功化至該晶粒102的整體時脈資源(global clock resource)上。此震盪頻率可能會受到像是溫度、電壓及機械性應力的因素所影響。藉由像是計數器以測量此震盪頻率,即可決定各個掃描鏈208的狀態。若該震盪頻率落在一可容允範圍的外部,則會送返一錯誤。
在一些情況下,可擴展前述概念以對經由該凸塊110(即如C4球體)所相互連接之中介層104與基板間的連接性進行監視。在這些情況下,可將該封裝完整度監視器設置在該中介層104內。不過,由於通過該中介層104、基板和C4球體的互連佈局(routing interconnects)處理的成本通常比較高,因此使得標準連接性檢查,像是「接合動作檢測小組(JTAG)」邊界掃描,在一些狀況下會較為實際。然對於一些需在嚴苛熱性-機械環境下 要求高可靠度的系統而言,此另增成本則可視為有其價值。
在一實作中,為監視該中介層104與該基板之間的連接性,可提供一種具有封裝完整度監視功能的設備。該裝置可包含:一基板,此者經由複數個凸塊(即如C4球體)連接至一中介層,其中該凸塊的至少一部份含有虛擬凸塊;一封裝完整度監視器,此者具有一用以傳送測試信號的傳送器和一用以接收該測試信號的接收器;以及一第一掃描鏈,此者具有在該中介層內及該基板內串聯連接該虛擬凸塊之一部份的複數個交替互連。而該第一掃描鏈具有一第一末端,此末端係經耦接於該封裝完整度監視器的傳送器,和一第二末端,而此末端則是耦接於該封裝完整度監視器的接收器。選擇性地,該封裝完整度監視器可經配置以若在該第一掃描鏈中出現不連續性時提供失敗信號。在一些情況下,該封裝完整度監視器可選擇性地含有一時間至數位轉換器,此者係經配置以測量該測試信號走過該第一掃描鏈的時間。在這些情況下,該封裝完整度監視器可經配置以若通過該第一掃描鏈的所測得時間是位於一可容允範圍的外部則提供一失敗信號。在其他情況下,該第一掃描鏈可選擇性地含有一震盪器網路內的負載。在這種情況下,該封裝完整度監視器可經配置以測量該震盪器網路的震盪頻率,並且若該震盪頻率位於一可容允範圍的外部則提供一失敗信號。
在一些情況下,本揭所述的封裝完整度監視器202可為配置以既能監視該晶粒102與該中介層104之間的連接性,又能監視該中介層104與該基板之間的連接性兩者。
同時,在一些情況下,本揭所述的封裝完整度監視器202可含有一輸出,藉以在當該掃描鏈208無法將自該傳送器204所收到的測試 信號傳送至該接收器206時能夠提供失敗信號。可將該失敗信號傳送至一指示器,像是視覺指示器(即如LED、顯示器等等)及/或音訊指示器(即如喇叭),藉以知會使用者所偵得的失敗問題。該失敗信號可選擇性地含有一數值以表示其中出現錯誤之掃描鏈208的識別資料。在一實作中,該封裝完整度監視器202可為配置以回應於偵得錯誤而自動地輸出失敗信號。而在另一實作裡,可將該失敗信號儲存在該封裝完整度監視器202中。在這種情況下,可回應於接取該封裝完整度監視器202的使用者而將該失敗信號提供予該使用者。
該封裝完整度監視器202既經描述為位在該IC封裝100的晶粒102或中介層104上。因此,該IC封裝100和該封裝完整度監視器202可被視為是一設備(即如裝置)的部份。在其他情況下,該封裝完整度監視器202可遠離於該IC封裝100。例如,在其他具體實施例中,該封裝完整度監視器202可即如藉由一或更多的有線或無線方式通訊式地耦接於該IC封裝100。在這些情況下,該封裝100和該封裝完整度監視器202雖為分離裝置,然可被視為是一設備的一部份(即如一含有彼此間為通訊式地耦接之封裝100和封裝完整度監視器202的系統)。例如,該晶粒102或該中介層104(或是該封裝100的其他元件)可含有一信號接收器以供接收從該封裝完整度監視器202以無線方式傳來的信號,以及一信號傳送器以供將信號以無線方式傳送至該封裝完整度監視器202。或另者,該晶粒102或該中介層104(或者該IC封裝100的其他元件)可含有(多個)終端,藉以透過一連接器(即如一或更多個導體)按相通且可卸解的方式耦接於該封裝完整度監視器202。在這種情況下,該封裝完整度監視器202可經由該(等)終端以與該IC封裝100 進行通訊。
圖3說明一種利用IC封裝內之虛擬凸塊以實作封裝完整度檢測的方法。首先,在項目301處,在該晶粒上產生一封裝完整度監視器。該封裝完整度監視器可為前文中參照於圖2-1至2-3所討論之封裝完整度監視器202的任一者。該封裝完整度監視器可含有一傳送器及一接收器。如前所述,在一些情況下,該封裝完整度監視器可選擇性地含有一TDC。而在其他情況下,該封裝完整度監視器可含有一震盪器。
現返回圖3,在項目302裡產生複數個掃描鏈,其中各個掃描鏈串聯連接複數個虛擬凸塊。該掃描鏈可為前文中參照於圖2-1至2-3所討論之掃描鏈208的任一者。如前所述,在一些情況下,對於各個虛擬凸塊106圓環可有一個掃描鏈208。在其他情況下,則可有多個經配置以涵蓋該晶粒102上被視為有問題或敏感性之區域上的掃描鏈208。在一些情況下,該掃描鏈208亦可經配置以將測試覆蓋面積最大化。
現返回圖3,一旦產生該掃描鏈之後,可於項目303處將在該封裝完整度監視器處所產生的測試信號自該封裝完整度監視器的傳送器分別地傳送至該複數個掃描鏈。在一些情況下,各個測試信號可為固定邏輯高位。然在其他情況下,該測試信號可為脈衝或震盪信號。同時,可在含有該封裝完整度監視器之IC封裝的製造過程中,在該IC封裝的操作壽命過程中,或兩者,進行該測試信號的產生與傳送處理。
接著,在項目304中,對於是否存在有錯誤(或失敗)進行決定作業。這可依照該封裝完整度監視器的接收器收到該(等)測試信號及/或並未收到一或更多測試信號所達成。在一些具體實施例裡,若由於一或更多 該掃描鏈之內的不連續性而並未在該封裝完整度監視器的接收器處收到測試信號,則可視為是偵測到錯誤。這可藉由該封裝完整度監視器的接收器收到一邏輯低位所偵測出。在一些其中利用一TDC以測量信號走過該掃描鏈之時間的情況下,不連續性會造成該TDC逾時。在一些情況下,若該信號走過掃描鏈的時間超過一預設可容允時間,則可視為是偵得錯誤。在一些情況下,若震盪測試信號的頻率是位於一可容允頻率範圍的外部,則可視為是偵得錯誤。
若並未偵測到錯誤,則該方法返回至項目303,其中可產生額外的測試信號並由該封裝完整度監視器傳送以進一步檢測該封裝。該測試信號的產生和傳送作業可為依週期性方式,像是按一預設間隔(即如每小時、每天、每月等等),或者是回應於所偵得事件(即如含有該IC封裝之裝置的通電啟動),所執行。
若偵測到錯誤,則該方法前進到項目305,在此該封裝完整度監視器可運用邏輯以決定失敗出現於何處。若並無重疊的掃描鏈,則決定結果將僅為其中存在有失敗問題的特定掃描鏈。然而,在具有重疊掃描鏈的具體實施例裡,可分析來自於不同掃描鏈的結果以將失敗的可能位置縮減至比較小的微凸塊集合。
在項目306中,該封裝完整度監視器可提供一失敗信號以表示偵測到失敗問題。此失敗信號可為由該封裝完整度監視器回應於在該掃描鏈之一或更多者內所偵得的不連續性而自動地提供。或另者,該失敗信號可為回應於取用該封裝完整度監視器之使用者的請求所提供。在一些情況下,該失敗信號可表示出現失敗問題的位置或是可能位置。
在一些情況下,本揭示之封裝完整度監視器可適用於接附在標準覆晶封裝中的晶粒。
前文中雖顯示並描述諸多特性,然將能瞭解該並非欲以限制本發明,同時熟諳本項技藝之人士應能知曉確可進行各式變化及修改而不致悖離本發明的範疇。從而,應將本說明書及圖式視為示範性質但不具限制意義。本發明應涵蓋各種替代、修改和等同項目。
100‧‧‧IC封裝
102‧‧‧晶粒
104‧‧‧中介層
106‧‧‧微凸塊
110‧‧‧凸塊
202‧‧‧封裝完整度監視器
204‧‧‧傳送器
206‧‧‧接收器
208‧‧‧掃描鏈
210‧‧‧交替互連
212‧‧‧互連

Claims (20)

  1. 一種具有封裝完整度監視功能的設備,其中含有:一封裝,此者具有一經由複數個凸塊連接至一中介層的晶粒,其中該凸塊的至少一部份含有虛擬凸塊;一封裝完整度監視器,此者具有一用以傳送測試信號的傳送器和一用以接收該測試信號的接收器;以及一第一掃描鏈,此者含有在該晶粒內及該中介層內串聯連接該虛擬凸塊之一部份的複數個交替互連,其中該第一掃描鏈具有一第一末端,其耦接於該封裝完整度監視器的傳送器,和一第二末端,其耦接於該封裝完整度監視器的接收器。
  2. 如申請專利範圍第1項所述之設備,其中該封裝完整度監視器係經配置以若在該第一掃描鏈中出現不連續性時提供失敗信號。
  3. 如申請專利範圍第1項所述之設備,進一步含有一第二掃描鏈,其中該封裝完整度監視器係經配置以決定該第一掃描鏈及該第二掃描鏈何者之中發生錯誤。
  4. 如申請專利範圍第1項所述之設備,其中該封裝完整度監視器係經配置以在該封裝的操作過程中傳送額外的信號。
  5. 如申請專利範圍第1項所述之設備,其中該封裝完整度監視器進一步含有一時間至數位轉換器,此者係經配置以測量該測試信號走過該第一掃描鏈的時間。
  6. 如申請專利範圍第5項所述之設備,其中該封裝完整度監視器係經配置以若通過該第一掃描鏈的所測得時間是位於一可容允範圍的外部則提 供一失敗信號。
  7. 如申請專利範圍第1項所述之設備,其中該第一掃描鏈含有一震盪器網路內的負載。
  8. 如申請專利範圍第7項所述之設備,其中該封裝完整度監視器係經配置以測量該震盪器網路的震盪頻率,並且若該震盪頻率位於一可容允範圍的外部則提供一失敗信號。
  9. 如申請專利範圍第1項所述之設備,進一步包含一第二掃描鏈,其中該虛擬凸塊的至少一者為該第一掃描鏈之一部份及該第二掃描鏈之一部份之兩者。
  10. 如申請專利範圍第1項所述之設備,其中該第一掃描鏈構成一第一圓環,並且該設備進一步包含一第二掃描鏈,此者構成一環繞於該第一圓環的第二圓環。
  11. 一種具有封裝完整度監視功能的設備,其中含有:一基板,此者經由複數個凸塊連接至一中介層,其中該凸塊的至少一部份含有虛擬凸塊;一封裝完整度監視器,此者具有一用以傳送測試信號的傳送器和一用以接收該測試信號的接收器;以及一第一掃描鏈,此者具有在該中介層內及該基板內串聯連接該虛擬凸塊之一部份的複數個交替互連,其中該第一掃描鏈具有一第一末端,其耦接於該封裝完整度監視器的傳送器,和一第二末端,其耦接於該封裝完整度監視器的接收器。
  12. 如申請專利範圍第11項所述之設備,其中該封裝完整度監視器係經 配置以若在該第一掃描鏈中出現不連續性時提供失敗信號。
  13. 如申請專利範圍第11項所述之設備,其中該封裝完整度監視器進一步含有一時間至數位轉換器,此者係經配置以測量該測試信號走過該第一掃描鏈的時間;以及其中該封裝完整度監視器係經配置以若通過該第一掃描鏈的所測得時間是位於一可容允範圍的外部則提供一失敗信號。
  14. 如申請專利範圍第11項所述之設備,其中該第一掃描鏈含有一震盪器網路內的負載;以及其中該封裝完整度監視器係經配置以測量該震盪器網路的震盪頻率,並且若該震盪頻率位於一可容允範圍的外部則提供一失敗信號。
  15. 一種用以監視一封裝之整合度的方法,該封裝具有經由複數個凸塊連接至一中介層的晶粒,其中該凸塊的至少一部份含有虛擬凸塊,該方法包含:在位於該封裝處的封裝完整度監視器產生一測試信號;以及經由一第一掃描鏈傳送該測試信號,該第一掃描鏈含有在該晶粒內及該中介層內串聯連接該虛擬凸塊之一部份的複數個交替互連。
  16. 如申請專利範圍第15項所述之方法,進一步包含由該封裝完整度監視器接收該測試信號。
  17. 如申請專利範圍第15項所述之方法,進一步包含若該封裝完整度監視器並未自該第一掃描鏈收到該測試信號則提供一失敗信號。
  18. 如申請專利範圍第15項所述之方法,其中該測試信號是在該封裝的操作過程中所傳送。
  19. 如申請專利範圍第15項所述之方法,進一步包含:利用一時間至數位轉換器以測量該測試信號走過該第一掃描鏈的時間;以及若該所測得時間是位於一可容允範圍的外部則提供一失敗信號。
  20. 如申請專利範圍第15項所述之方法,其中該第一掃描鏈含有一震盪器網路上的負載,並且該方法進一步包含若該震盪器網路的震盪頻率位於一可容允範圍的外部則提供一失敗信號。
TW103107855A 2013-03-07 2014-03-07 具有犧牲凸塊之封裝完整度監視器 TWI623762B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/789,347 US9128148B2 (en) 2013-03-07 2013-03-07 Package integrity monitor with sacrificial bumps
US13/789,347 2013-03-07

Publications (2)

Publication Number Publication Date
TW201439559A true TW201439559A (zh) 2014-10-16
TWI623762B TWI623762B (zh) 2018-05-11

Family

ID=50390260

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103107855A TWI623762B (zh) 2013-03-07 2014-03-07 具有犧牲凸塊之封裝完整度監視器

Country Status (7)

Country Link
US (1) US9128148B2 (zh)
EP (1) EP2965348B1 (zh)
JP (1) JP6415459B2 (zh)
KR (1) KR102170167B1 (zh)
CN (1) CN105051878B (zh)
TW (1) TWI623762B (zh)
WO (1) WO2014138495A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI728002B (zh) * 2015-12-23 2021-05-21 美商英特爾公司 改良晶粒的尺寸及效能之技術

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9343418B2 (en) * 2013-11-05 2016-05-17 Xilinx, Inc. Solder bump arrangements for large area analog circuitry
KR102478381B1 (ko) 2015-12-21 2022-12-20 삼성전자주식회사 반도체 패키지
US10886171B2 (en) 2016-07-02 2021-01-05 Intel Corporation Rlink-on-die interconnect features to enable signaling
US10262911B1 (en) 2016-12-14 2019-04-16 Xilinx, Inc. Circuit for and method of testing bond connections between a first die and a second die
CN108206154B (zh) * 2016-12-19 2020-06-19 技鼎股份有限公司 应用在扇出制程的晶粒定位方法及生产设备
US10317464B2 (en) 2017-05-08 2019-06-11 Xilinx, Inc. Dynamic scan chain reconfiguration in an integrated circuit
US11282776B2 (en) 2018-02-22 2022-03-22 Xilinx, Inc. High density routing for heterogeneous package integration
CN109752413B (zh) * 2018-12-27 2021-08-03 苏州佳世达电通有限公司 测试两基板之间多个焊球的结构及其方法
US11171104B2 (en) 2019-10-24 2021-11-09 Marvell Asia Pte, Ltd. IC chip package with dummy solder structure under corner, and related method
KR20210079543A (ko) * 2019-12-20 2021-06-30 삼성전자주식회사 고대역폭 메모리 및 이를 포함하는 시스템
KR20210113492A (ko) 2020-03-06 2021-09-16 에스케이하이닉스 주식회사 반도체 기판, 반도체 기판을 포함하는 반도체 패키지 및 반도체 기판의 테스트 방법
KR20210126228A (ko) 2020-04-10 2021-10-20 삼성전자주식회사 반도체 패키지
CN112992865B (zh) * 2021-02-26 2023-07-11 珠海天成先进半导体科技有限公司 一种晶圆级键合工艺监控结构、方法及制备方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09246426A (ja) * 1996-03-04 1997-09-19 Sony Corp 表面実装型電子部品、配線基板、実装基板及び実装方法
FR2775832B1 (fr) 1998-03-05 2000-05-05 St Microelectronics Sa Systeme semiconducteur de test realise dans un chemin de decoupe d'une plaquette semiconductrice
JP2004281830A (ja) * 2003-03-17 2004-10-07 Shinko Electric Ind Co Ltd 半導体装置用基板及び基板の製造方法及び半導体装置
US6867613B1 (en) * 2004-07-07 2005-03-15 Advanced Micro Devices, Inc. Built-in self timing test method and apparatus
JP2006195780A (ja) * 2005-01-14 2006-07-27 Yamatake Corp コントローラおよびリモートi/o通信方法
JP2007214454A (ja) * 2006-02-10 2007-08-23 Alps Electric Co Ltd 基板接続試験用ユニット
US7945827B1 (en) * 2006-12-28 2011-05-17 Marvell International Technology Ltd. Method and device for scan chain management of dies reused in a multi-chip package
JP5034781B2 (ja) * 2007-08-27 2012-09-26 富士通株式会社 半田バンプの高感度抵抗測定装置及び監視方法
TWI441270B (zh) 2008-12-17 2014-06-11 Ind Tech Res Inst 三維積體電路之直通矽晶穿孔製程監控方法及裝置
US8120356B2 (en) * 2009-06-11 2012-02-21 International Business Machines Corporation Measurement methodology and array structure for statistical stress and test of reliabilty structures
JP2011014703A (ja) 2009-07-01 2011-01-20 Renesas Electronics Corp 半導体集積回路装置、及び半導体集積回路装置のテスト方法
US8384411B2 (en) 2009-12-18 2013-02-26 Tektronix, Inc. Method and device for measuring inter-chip signals
US8327201B1 (en) * 2010-05-05 2012-12-04 Xilinx, Inc. Parallel testing of an integrated circuit that includes multiple dies
US8648615B2 (en) * 2010-06-28 2014-02-11 Xilinx, Inc. Testing die-to-die bonding and rework
US9646954B2 (en) * 2011-04-13 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with test circuit
US8664540B2 (en) * 2011-05-27 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Interposer testing using dummy connections
US9164147B2 (en) * 2011-06-16 2015-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for 3D IC test
US8832511B2 (en) * 2011-08-15 2014-09-09 Taiwan Semiconductor Manufacturing Co., Ltd. Built-in self-test for interposer
JP2013083619A (ja) * 2011-09-27 2013-05-09 Elpida Memory Inc 半導体チップ、半導体装置、及びその測定方法
KR20130071884A (ko) * 2011-12-21 2013-07-01 삼성전자주식회사 다이 패키지 및 이를 포함하는 시스템

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI728002B (zh) * 2015-12-23 2021-05-21 美商英特爾公司 改良晶粒的尺寸及效能之技術

Also Published As

Publication number Publication date
EP2965348B1 (en) 2017-12-20
WO2014138495A1 (en) 2014-09-12
CN105051878B (zh) 2017-11-28
CN105051878A (zh) 2015-11-11
US9128148B2 (en) 2015-09-08
JP6415459B2 (ja) 2018-10-31
US20140253171A1 (en) 2014-09-11
EP2965348A1 (en) 2016-01-13
JP2016510877A (ja) 2016-04-11
KR20150127125A (ko) 2015-11-16
TWI623762B (zh) 2018-05-11
KR102170167B1 (ko) 2020-10-26

Similar Documents

Publication Publication Date Title
TWI623762B (zh) 具有犧牲凸塊之封裝完整度監視器
US8829918B2 (en) Die connection monitoring system and method
US8773157B2 (en) Test circuit for testing through-silicon-vias in 3D integrated circuits
EP3714280B1 (en) Integrated circuit pad failure detection
US7741834B2 (en) Method to monitor substrate viability by a sensor mounted to a substrate
US9933475B2 (en) Semiconductor device and multi-chip module
US9091727B1 (en) Configuration and testing of multiple-die integrated circuits
JP2017194483A (ja) マルチicデバイスをテストするための方法および装置
US10068812B2 (en) Method and structure for flip-chip package reliability monitoring using capacitive sensors groups
US8793547B2 (en) 3D built-in self-test scheme for 3D assembly defect detection
TWI420121B (zh) 經封裝積體電路以及用以測試裝置的方法及設備
CN104062534A (zh) 针对短路测试集成电路封装
US20150276854A1 (en) Integrated circuit interconnect crack monitor circuit
Huang et al. Delay testing and characterization of post-bond interposer wires in 2.5-D ICs
US7471099B2 (en) Semiconductor device with mechanism for leak defect detection
US11815551B1 (en) Die-to-die connectivity monitoring using a clocked receiver
US9719874B2 (en) Pressure sensor device for measuring a differential normal pressure to the device and related methods
US20170366172A1 (en) Contactless readable programmable transponder to monitor chip join
US9989572B1 (en) Method and apparatus for testing interposer dies prior to assembly
Das et al. A PVT-resilient no-touch DFT methodology for prebond TSV testing
Ebrahimi et al. Intermittent resistance fault detection at board level
JP2012083262A (ja) 試験装置および試験方法
Huang et al. Versatile transition-time monitoring for interconnects via distributed TDC
KR101919661B1 (ko) 멀티다이 집적회로의 폴트 위치 분석 장치
Deutsch et al. Test and debug solutions for 3D-stacked integrated circuits