TW201403649A - X線產生裝置及x線產生方法 - Google Patents

X線產生裝置及x線產生方法 Download PDF

Info

Publication number
TW201403649A
TW201403649A TW102111690A TW102111690A TW201403649A TW 201403649 A TW201403649 A TW 201403649A TW 102111690 A TW102111690 A TW 102111690A TW 102111690 A TW102111690 A TW 102111690A TW 201403649 A TW201403649 A TW 201403649A
Authority
TW
Taiwan
Prior art keywords
target
electron beam
ray
outer diameter
substrate
Prior art date
Application number
TW102111690A
Other languages
English (en)
Inventor
Atsushi Ishii
Motohiro Suyama
Naonobu Suzuki
Ryosuke Yabushita
Original Assignee
Hamamatsu Photonics Kk
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hamamatsu Photonics Kk filed Critical Hamamatsu Photonics Kk
Publication of TW201403649A publication Critical patent/TW201403649A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/04Electrodes ; Mutual position thereof; Constructional adaptations therefor
    • H01J35/08Anodes; Anti cathodes
    • H01J35/112Non-rotating anodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/04Electrodes ; Mutual position thereof; Constructional adaptations therefor
    • H01J35/08Anodes; Anti cathodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/04Electrodes ; Mutual position thereof; Constructional adaptations therefor
    • H01J35/08Anodes; Anti cathodes
    • H01J35/112Non-rotating anodes
    • H01J35/116Transmissive anodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/14Arrangements for concentrating, focusing, or directing the cathode ray
    • H01J35/147Spot size control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/14Arrangements for concentrating, focusing, or directing the cathode ray
    • H01J35/153Spot position control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/24Tubes wherein the point of impact of the cathode ray on the anode or anticathode is movable relative to the surface thereof
    • H01J35/30Tubes wherein the point of impact of the cathode ray on the anode or anticathode is movable relative to the surface thereof by deflection of the cathode ray
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G1/00X-ray apparatus involving X-ray tubes; Circuits therefor
    • H05G1/08Electrical details
    • H05G1/26Measuring, controlling or protecting
    • H05G1/30Controlling
    • H05G1/52Target size or shape; Direction of electron beam, e.g. in tubes with one anode and more than one cathode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2235/00X-ray tubes
    • H01J2235/08Targets (anodes) and X-ray converters
    • H01J2235/081Target material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2235/00X-ray tubes
    • H01J2235/08Targets (anodes) and X-ray converters
    • H01J2235/086Target geometry

Landscapes

  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • X-Ray Techniques (AREA)

Abstract

X線產生裝置(1)是具備:電子槍部(3),其係射出電子束(EB);靶部(T),其係具有:由鑽石所構成的基板(21)、及藉由電子束(EB)的射入來產生X線(XR)的材料所構成且密合於基板(21)而埋設的靶體(23)。靶體(23)的外徑是0.05~1μm的範圍。電子束(EB)之靶部(T)的照射域的外徑為靶體(23)的外徑的1.1~2.5倍的範圍。X線產生裝置(1)是以靶體(23)包含在照射域的方式,將電子束(EB)照射至靶體(23),藉此使從靶體(23)產生X線(XR)。

Description

X線產生裝置及X線產生方法
本發明是有關X線產生裝置及X線產生方法。
作為X線產生裝置,例如有具備電子槍部及靶部者為人所知(參照專利文獻1),該電子槍部是射出電子束,該靶體是具有基板、及埋設於基板藉由電子束的射入來產生X線的材料所構成的靶體。作為靶部,例如有具備:由鑽石所構成的基板、及以非貫通狀態埋設於基板的鎢等所構成的靶體者為人所知(參照專利文獻2)。
〔先行技術文獻〕 〔專利文獻〕
[專利文獻1]日本特開2004-028845號公報
[專利文獻2]美國專利第5148462號說明書
本發明的目的是在於提供一種可抑制空間分解能的降低之X線產生裝置及X線產生方法。
本發明們經調査研究的結果,新發現以下那樣的事實。
藉由使用奈米等級尺寸的靶體,作為密合於由鑽石所構成的基板而被埋設的靶體,X線的焦點徑會成微小,可取得高的空間分解能(解像度)。奈米等級尺寸的靶體,通常外徑是被設定於0.05~1μm的範圍。X線的焦點徑是取決於靶體的尺寸(外徑),因此即使電子束的照射域大於靶體的外徑時,還是可取得高的空間分解能。因此,相較於X線的焦點徑,可充裕進行電子束的照射域的控制。
然而,當電子束的照射域過大於靶體的端面時,可知發生以下的問題點。亦即,因為所被取得的X線中含有雜訊成分,所以空間分解能會降低。此雜訊成分不是從靶體產生的X線成分,可想像是因為電子束射入至位於靶體的周圍之靶體以外的部分,從該部分產生的X線成分所致。為了維持藉由使用奈米等級尺寸的靶體而取得的高空間分解能,減少射入至靶體以外的部分的電子束,而一面使成為雜訊成分的上述X線成分減少,一面安定地控制電子束為重要。
於是,本發明者們著眼於靶體的外徑與電子 束的照射域的外徑的關係,針對可抑制空間分解能的降低之構成來進行更深入研究,想到本發明。
其一觀點,本發明之X線產生裝置係具備:電子槍部,其係射出電子束;靶部,其係具有:由鑽石所構成的基板、及藉由電子束的射入來產生的X線的材料所構成且密合於基板來埋設的靶體,靶體的外徑為0.05~1μm的範圍,電子束之靶部的照射域的外徑為靶體的外徑的1.1~2.5倍的範圍,以靶體能夠包含在照射域的方式,將電子束照射至靶體,藉此使從靶體產生X線。
另一觀點,本發明之X線產生方法,係對靶部照射電子束來使從靶體產生X線之X線產生方法,該靶部係具有:由鑽石所構成的基板、及藉由電子束的射入來產生的X線的材料所構成且密合於基板來埋設的靶體,其特徵為:將靶體的外徑設為0.05~1μm的範圍,將電子束之靶部的照射域的外徑設為靶體的外徑的1.1~2.5倍的範圍,以照射域能夠包含靶體的方式,將電子束照射至靶體。
若分別根據該等本發明的X線產生裝置及X線產生方法,則藉由電子束射入至靶部的靶體以外的部分 而產生的X線成分會被抑制成對空間分解能不會產生影響的程度。此結果,可抑制空間分解能的降低。
亦可在基板的電子束的射入面側形成有含遷移元素的保護層。此情況,電子束直接被照射至基板而造成靶部近旁的基板的損傷會被抑制。此結果,可使被照射電子束的領域安定化,更進一步抑制空間分解能的降低。
亦可更具備:第一線圈部,其係使電子束收束;第二線圈部,其係使電子束偏向;及控制部,其係控制第一線圈部,而使電子束之靶部的照射域的外徑能夠成為靶體的外徑的1.1~2.5倍的範圍,控制第二線圈部,而使電子束的照射域能夠包含靶體。
亦可更具備檢測部,其係檢測出來自靶體的二次電子或從靶體產生的X線或靶電流,控制部係根據檢測部的檢測訊號來控制第二線圈部。
亦可利用使電子束收束的第一線圈部、及使電子束偏向的第二線圈部,藉由第一線圈部來使電子束收束,而使電子束之靶部的照射域的外徑能夠成為靶體的外徑的1.1~2.5倍的範圍,藉由第二線圈部來使電子束偏向,而使電子束的照射域能夠包含靶體。
亦可利用檢測部,其係檢測出來自靶體的二次電子或從靶體產生的X線或靶電流,根據檢測部的檢測訊號來控制二次線圈,而使電子束偏向。
若根據本發明,則可提供一種能夠抑制空間分解能的降低之X線產生裝置及X線產生方法。
1‧‧‧X線產生裝置
3‧‧‧電子槍部
7,9‧‧‧線圈部
21‧‧‧基板
23‧‧‧靶體
25‧‧‧保護層
31‧‧‧控制器
33‧‧‧二次電子檢測器
41‧‧‧X線檢測器
51‧‧‧電流檢測器
D1‧‧‧電子束之靶部上的照射域的外徑
D2‧‧‧靶體的外徑
EB‧‧‧電子束
F‧‧‧照射域
T‧‧‧靶部
XR‧‧‧X線
圖1是表示本發明的實施形態的X線產生裝置的概略構成圖。
圖2是表示靶部的構成圖。
圖3是表示電子束的照射域與靶體的外徑的關係圖。
圖4是表示藉由本發明者們的試驗來求取的最小空間分解能的圖表。
圖5是表示電子束之靶部上的照射域的外徑與靶體的外徑的比和空間分解能的關係圖。
圖6是表示電子束之靶部上的照射域的外徑與靶體的外徑的比和空間分解能的關係圖。
圖7是表示X線解像力測驗圖的X線像的圖。
圖8是表示X線解像力測驗圖的X線像的圖。
圖9是表示本實施形態的變形例的X線產生裝置的概略構成圖。
圖10是表示本實施形態的變形例的X線產生裝置的概略構成圖。
以下,參照附圖來詳細說明有關本發明的合適的實施形態。另外,在說明中,對於具有同一要素或同一機能的要素,使用同一符號,其重複的說明省略。
首先,參照圖1來說明有關本實施形態的X線產生裝置的構成。圖1是表示本實施形態的X線產生裝置的概略構成圖。
X線產生裝置1是開放型,與一次性供給封閉型不同,無法任意地作出真空狀態。在X線產生裝置1是靶部T及電子槍部3的陰極等的更換為可能。X線產生裝置1是具有在動作時形成真空狀態的圓筒形狀的不鏽鋼製的筒狀部5。筒狀部5是具有位於下側的固定部5a、及位於上側的裝卸部5b。裝卸部5b是經由鉸鏈(未圖示)來安裝於固定部5a。因此,裝卸部5b可經由鉸鏈來轉動成橫倒,藉此可使固定部5a的上部開放。因此,可對被收容於固定部5a內的電子槍部3(陰極)進行存取。
X線產生裝置1是具備:作為集束透鏡機能的筒狀的線圈部7、及作為偏向線圈機能的筒狀的線圈部9。線圈部7及線圈部9是配置於裝卸部5b內。在裝卸部5b內,電子通路11會延伸於筒狀部5的長度方向,而使能夠通過各線圈部7,9的中心。電子通路11是被線圈部7,9包圍。在裝卸部5b的下端,圓盤板13會被固定成蓋。在圓盤板13的中心是形成有使與電子通路11的下端側一致的電子導入孔13a。
裝卸部5b的上端是被形成圓錐台。在裝卸部5b的頂部是配置有靶部T。靶部T是位於電子通路11的上端側,形成透過型的X線射出窗。靶部T是在使接地的狀態下收容於裝卸自如的旋轉式蓋部(未圖示)內。因此,藉由蓋部的卸下,消耗品之靶部T的更換也成為可能。
在固定部5a是固定有真空泵17。真空泵17是使筒狀部5內全體形成高真空狀態。亦即,藉由X線產生裝置1具備真空泵17,靶部T及陰極等的更換可能。
在筒狀部5的基端側是固定有被謀求與電子槍部3的一體化之模製電源部19。模製電源部19是以電氣絕緣性的樹脂(例如,環氧樹脂)來模製成形。模製電源部19是被收容於金屬製的容器內。
在模製電源部19內是封入有高壓產生部(未圖示)。高壓產生部是構成使高電壓(例如在使靶部T接地時是最大-160kV)產生之類的變壓器。模製電源部19是具有電源本體部19a及頸部19b。電源本體部19a是位於下側,呈長方體形狀的塊狀。頸部19b是從電源本體部19a朝上方延伸,突出至固定部5a內,呈圓柱狀。高壓產生部是被封入至電源本體部19a內。
X線產生裝置1是具備電子槍部3。電子槍部3是以能夠隔著電子通路11來與靶部T對峙的方式配置於頸部19b的前端部。在模製電源部19的電源本體部19a內封入有使電性連接至高壓產生部的電子放出控制部 (未圖示)。電子放出控制部是被連接至電子槍部3,控制電子的放出的時機或管電流等。
在X線產生裝置1是具備靶部T。靶部T是亦如圖2所示般,具有基板21、靶體23及保護層25。基板21是由鑽石所構成,為具有圓形或矩形等的外形的板狀。鑽石是X線透過性及放熱性佳的材料。基板21是具有彼此對向且平行的第一主面21a及第二主面21b。基板21的厚度是比基板的外徑更小。例如,基板的外徑是被設定成0.3~1.5cm程度,基板21的厚度是被設定成50~300μm程度。
在基板21形成有底狀的穴部22。穴部22是從第一主面21a側朝向第二主面21b,延伸於與第一主面21a大致垂直的方向。穴部22是具有以底面22a及內側面22b來劃成的內側空間,該內側空間是在沿著第一及第二主面21a,21b的方向之剖面為大略圓形的圓柱體形狀。內側面22b之與第一主面21a垂直的方向的長度(亦即穴部22的深度)是比底面22a之與第一主面21a平行的方向的長度(亦即穴部22的內徑)更大。穴部22的內徑是被設定於0.05~1μm的範圍,穴部22的深度是被設定於0.5~4μm的範圍。在本實施形態中,穴部22的內徑是被設定成0.5μm,穴部22的深度是被設定成1μm。
靶體23是被配置在基板21所形成的穴部22內。靶體23是由與基板21不同的材料構成的金屬(例如鎢、金、或白金等)所形成。靶體23是對應於穴部22的 內側空間,亦即呈埋入穴部22的圓柱體形狀。靶體23是具有彼此對向的第一及第二端面23a,23b、及外側面23c。在本實施形態是採用鎢(W)作為靶體23的金屬。
靶體23是上述金屬從穴部22的底面22a往第一主面21a側堆積而成。因此,靶體23的第一端面23a是其全體會與穴部22的底面22a密合。靶體23的外側面23c是其全體會與穴部22的內側面22b密合。亦即,以至少其一部分具有與穴部22同形狀的靶體23能夠充填於穴部22的方式密合於基板21而埋設。因此,靶體23的尺寸是對應於穴部22的內側空間之尺寸,靶體23的外徑是被設定成0.05~1μm的範圍。在本實施形態中,靶體23的外徑是被設定成0.5μm。
保護層25是形成於基板21的第一主面21a側。保護層25是由第一遷移元素(例如鈦或鉻等)所構成。保護層25是以靶體23的第二端面23b能夠露出的方式形成於第一主面21a上。亦即,在電子束射入側是藉由保護層25來使基板21不會露出,另一方面,在基板21的側面及X線射出側的第二主面21b是保護層25未被形成。
保護層25的厚度是若過小,則容易從基板21剝離,且有可能難以無間隙形成。保護層25與基板21作比較,放熱性低,且也覆蓋靶體23時,有可能連往靶體23之電子束的射入也妨礙到。因此,保護層25的厚度是被設定成比靶體23的高度(穴部22的深度)低,具體而 言是10~100nm,較理想是20~60nm,在本實施形態中是被設定成50nm程度。保護層25是可藉由物理蒸鍍(PVD)等的蒸鍍來形成。
作為構成保護層25的材料是像鋁那樣容易從由鑽石所構成的基板21剝離者為理想。因此,作為構成保護層25的材料,是採用鈦、鉻、鉬、或鎢等的遷移元素為理想。然而,在遷移元素之中也會有可能像使用在靶體23的鎢(第三遷移元素)或鉬(第二遷移元素)那樣X線產生效率高者在保護層25產生的X線成分影響在靶體23產生的X線的焦點徑。因此,必須儘可能縮小設定保護層25的膜厚,成膜時的膜厚的控制難。於是,構成保護層25的材料是X線產生效率比構成靶體23的材料更低,鈦或鉻等的第一遷移元素或其導電性化合物(碳化鈦等)較理想。
若在裝置內的環境中殘留氧的狀態下,電子束直接被照射於基板21的第一主面21a,則基板21會損傷,依狀況,會有形成貫通孔的問題點發生的情形。為了降低裝置內的殘留氣體,裝置的框體本身或排氣手段等各種的改善為必要,並不容易。因此,藉由可形成於基板21上的構造物來從電子束加以保護為理想。
對於此,若含遷移元素的保護層25形成覆蓋第一主面21a,則不會有電子束直接被照射於第一主面21a的情形,且保護層25與基板21的接合性會被保持。因此,可防止基板21損傷。由於基板21的側面及X線射 出側的第二主面21b未被形成保護層25,因此可利用基板21之良好的放熱性。
保護層25的電子束的射入側的面亦具有導電性。因此,保護層25是具有作為導電層的機能,亦可防止電子射入至基板21的第一主面21a側時產生的帶電。
再度參照圖1。X線產生裝置1是具備:作為控制部的控制器31、及作為檢測部的二次電子檢測器33。二次電子檢測器33是檢測出在靶部T(靶體23)所被反射的電子(二次電子)。二次電子檢測器33是經由未圖示的路徑、或在電子通路11中之對於前往靶部T的電子束EB彼此不受影響那樣的位置,配置成面對靶體23。在本實施形態中,二次電子檢測器33是被配置於裝卸部5b的上端側。二次電子檢測器33是以二次電子的檢測結果作為檢測訊號來輸出至控制器31。
控制器31是在於控制模製電源部19的高壓產生部及電子放出控制部。藉此,所定的電流電壓會被施加於電子槍部3與靶部T(靶體23)之間,從電子槍部3射出電子束EB。從電子槍部3射出的電子束EB是在藉由控制器31來控制的線圈部7適當地收束,而射入至靶體23。一旦電子束EB射入至靶體23,則X線XR會從靶體23放射,此X線XR是透過基板21來射出至外部。
控制器31是控制線圈部7,如圖3所示般,使由與靶部T垂直的方向(電子射入方向)來看,靶體23能夠被電子束EB之靶部T上的照射域F所包含。在本 實施形態中,控制器31是控制線圈部7,而使電子束EB之靶部T上的大略圓形的照射域F的外徑D1與大略圓形的靶體23的外徑D2的關係能夠符合以下所示般:1.1≦D1/D2≦2.5
線圈部7是使從電子槍部3射出的電子束EB收束成符合上述關係。
控制器31是根據從二次電子檢測器33輸出的檢測訊號來控制線圈部9。具體而言,控制器31是監視二次電子檢測器33所檢測出的二次電子的強度,根據來自靶部T(靶體23)的二次電子的強度及在靶部T(靶體23)中所被設定的位置資訊來決定電子束EB的照射位置。控制器31是控制線圈部9,而使電子束EB能夠被照射於所決定的照射位置。線圈部9是將電子束EB偏向,而使從電子槍部3射出的電子束EB能夠被照射至所被決定的照射位置。
在將電子束EB照射於物質時,依物質的原子號碼之量的二次電子會被放出(原子號碼越大,越放出多的二次電子)。本實施形態是在由鑽石所構成的基板21中埋設由鎢所構成的靶體23,因此可將檢測出更多的二次電子的位置判定成靶體23。亦即,當靶體23被包含在電子束EB之靶部T上的照射域F時,更多的二次電子會被放出。因此,被放出更多的二次電子的位置為電子束EB之靶部T上的照射域包含靶體23的位置,設定為照射位置。
X線產生裝置1是根據控制器31的控制,從電子槍部3持適當的加速度來射出電子束EB,電子束EB會在線圈部7被適當地收束,電子束EB會在線圈部9被偏向,而對靶部T(靶體23)照射電子束EB。被照射的電子束EB會衝突於靶體23,而X線會被照射至外部。
在X線產生裝置中,高的空間分解能是以高的電壓(例如50~150keV程度)來加速電子,而使電子束在靶上往微小的焦點聚焦,藉此取得。然而,若以高的加速電壓(例如50~150keV程度)來照射電子,則電子會在靶部T附近擴大,恐有X線的焦點尺寸擴大之虞。
在本實施形態是靶體23的外徑會被設定於0.05~1μm的範圍,靶體23為奈米等級尺寸。因此,即使以上述高的加速電壓(例如50~150keV程度)來照射電子,而電子擴大於靶部T附近時,也不會有X線焦點徑擴大的情形,空間分解能的劣化會被抑制。亦即,在本實施形態是可取得以靶體23的尺寸所定的空間分解能。因此,在利用靶體23的X線產生裝置1是可取得奈米等級(數十~數百nm)的空間分解能。
在此,詳細說明有關在電子束EB之靶部T上的照射域F的外徑D1與靶體23的外徑D2的關係。
本發明者等,為了明確上述外徑D1與外徑D2的比(D1/D2)和空間分解能的關係,而進行以下那樣的試驗。亦即,使在靶部T上的照射域F不同來照射電子束EB而使產生X線,利用X線解像力測驗圖來求取被認 定分解的最小線對的寬(間隔)作為最小空間分解能(μm)。將試驗結果顯示於圖4~圖6。
大略圓形的照射域F的外徑D1是如圖4所示般,設定成0.75μm,0.84μm,0.97μm,1.14μm,1.36μm,及1.62μm。大略圓形的靶體23的外徑D2是設定成0.5μm。將試驗結果顯示於圖5。管電壓是設定成70kV,管電流是設定成100μA。
由圖4及圖5所示的試驗結果可知,當外徑D1與外徑D2的比(D1/D2)為2.5以下時,可取得高的空間分解能。
接著,將大略圓形的靶體23的外徑D2設定成1μm,而使外徑D1與外徑D2的比(D1/D2)不同,求取最小空間分解能(μm)。將試驗結果顯示於圖6。管電壓是設定成70kV,管電流是設定成100μA。
由圖6所示的試驗結果也可知,當外徑D1與外徑D2的比(D1/D2)為2.5以下時,可取得高的空間分解能。
其次,取得:電子束EB之靶部T上的大略圓形的照射域F的外徑D1為0.5μm,大略圓形的靶體23的外徑D2為0.2μm時之X線解像力測驗圖的X線像。X線解像力測驗圖是線對的寬(間隔)為0.1μm。管電壓是設定成40kV,管電流是設定成140μA。將取得的X線像顯示於圖7。
接著,取得:電子束EB之靶部T上的大略圓 形的照射域F的外徑D1為0.3μm,大略圓形的靶體23的外徑D2為0.2μm時之X線解像力測驗圖的X線像。X線解像力測驗圖是線對的寬(間隔)為0.1μm。管電壓是設定成40kV,管電流是設定成140μA。將取得的X線像顯示於圖8。
由圖7及圖8所示的X線解像力測驗圖的X線像可知,電子束EB之靶部T上的照射域F的外徑D1為靶體23的外徑D2的2.5倍以下時,可確保0.1μm的空間分解能。
如以上般,本實施形態是電子束EB之靶部T上的照射域F的外徑D1為靶體23的外徑D2的1.1~2.5倍的範圍,因此電子束EB會射入靶部T的靶體23以外的部分,藉此所產生的X線成分會被抑制成對空間分解能不會產生影響的程度。此結果,可抑制空間分解能的降低。
藉由電子束EB之靶部T上的照射域F的外徑D1為靶體23的外徑D2的1.1倍以上,靶體23會被確實地包含於照射域F。藉此,可使X線XR適當地產生。
本實施形態是以保護層25能夠覆蓋第一主面21a的方式形成,不會有電子束直接照射至第一主面21a的情形。藉此,電子束EB直接被照射至第一主面21a而造成靶部T近旁的基板21的損傷會被抑制。此結果,可使被照射電子束EB的領域安定化,更進一步抑制空間分解能的降低。
以上,說明有關本發明的合適的實施形態,但本發明並非一定限於上述的實施形態,可在不脫離其要旨的範圍實施各種的變更。
穴部22的內側空間的形狀,亦即靶體23的形狀並非限於上述的圓柱體形狀。靶體23的形狀是沿著第一及第二主面21a,21b的方向的剖面亦可為多角形狀的角柱體形狀。此情況,靶體23的外徑是可以靶體23的最大外徑來定義。
在靶部T上的電子束的照射域的形狀並非限於大略圓形,亦可對應於靶體23的外形等的照射條件的變化來使形狀變化。電子束的照射域的形狀是例如亦可為楕圓形,此情況,照射域的外徑是可以短徑來定義。
保護層25是以能夠覆蓋基板21的第一主面21a及靶體23的第二端面23b之方式形成於第一主面21a上。
在本實施形態中,控制器31是根據二次電子的強度來控制線圈部9,但並非限於此,亦可根據特性X線量來控制線圈部9。此情況,X線產生裝置1是如圖9所示般,取代二次電子檢測器33,具備X線檢測器41。X線檢測器41亦與二次電子檢測器33同樣,以檢測結果作為檢測訊號,輸出至控制器31。控制器31是根據從X線檢測器41輸出的檢測訊號來控制線圈部9。
將電子束照射至物質時,X線會產生。X線是被分成連續頻譜的制動X線及線頻譜的特性X線,特性 X線是對元素具有固有的能量。構成靶體23的W的K列特性X線的能量是大略59.3keV,L列特性X線的能量是大略8.4keV,大略9.7keV。因此,控制器31是以在X線檢測器41檢測出的特性X線量能夠在所定的值成為一定,或成為最大的方式控制電子束EB的偏向。
在本實施形態中,基板21是由鑽石所構成,靶體23是由鎢所構成。此情況,藉由電子束的照射來從基板21產生的X線量與藉由電子束的照射來從靶體23產生的X線量是大不同。當從基板21產生的X線量與從靶體23產生的X線量大不同時,不僅特性X線量,亦可以X線檢測器41來檢測出全體的X線量。控制器31是以在X線檢測器41檢測出的全體的X線量能夠在所定的值形成一定,或成為最大的方式控制電子束EB的偏向。
控制器31是亦可根據從靶部T檢測出的靶電流值來控制線圈部9。此情況,X線產生裝置1是如圖10所示般,取代二次電子檢測器33,而具備檢測出靶電流的電流檢測器51。電流檢測器51亦與二次電子檢測器33或X線檢測器41同樣,以檢測結果作為檢測訊號,輸出至控制器31。控制器31是根據從電流檢測器51輸出的檢測訊號來控制線圈部9。亦可不另外具備電流檢測器51,控制器31具備檢測出靶電流的檢測部。
在將電子束照射於物質時,依物質的原子號碼之量的電子會被吸收。亦即,原子號碼越大,靶電流越小,原子號碼越小,靶電流越大。本實施形態是在由鑽石 所構成的基板21中埋設由鎢所構成的靶體23,因此可將靶電流小的位置判定成靶體23。於是,控制器33是以靶電流能夠形成更小的方式來控制電子束EB的偏向。
〔產業上的利用可能性〕
本發明是可利用在X線非破壞檢查裝置。
1‧‧‧X線產生裝置
3‧‧‧電子槍部
5‧‧‧筒狀部
5a‧‧‧固定部
5b‧‧‧裝卸部
7,9‧‧‧線圈部
11‧‧‧電子通路
13‧‧‧圓盤板
13a‧‧‧電子導入孔
17‧‧‧真空泵
19‧‧‧模製電源部
19a‧‧‧電源本體部
19b‧‧‧頸部
21‧‧‧基板
23‧‧‧靶體
31‧‧‧控制器
33‧‧‧二次電子檢測器
EB‧‧‧電子束
T‧‧‧靶部
XR‧‧‧X線

Claims (8)

  1. 一種X線產生裝置,其特徵係具備:電子槍部,其係射出電子束;靶部,其係具有:由鑽石所構成的基板、及藉由前述電子束的射入來產生的X線的材料所構成且密合於前述基板來埋設的靶體,前述靶體的外徑為0.05~1μm的範圍,前述電子束之前述靶部的照射域的外徑為前述靶體的外徑的1.1~2.5倍的範圍,以前述靶體能夠包含在前述照射域的方式,將前述電子束照射至前述靶體,藉此使從前述靶體產生X線。
  2. 如申請專利範圍第1項之X線產生裝置,其中,在前述基板之前述電子束的射入面側形成有含遷移元素的保護層。
  3. 如申請專利範圍第1或2項之X線產生裝置,其中,更具備:第一線圈部,其係使前述電子束收束;第二線圈部,其係使前述電子束偏向;及控制部,其係控制前述第一線圈部,而使前述電子束之前述靶部的照射域的外徑能夠成為前述靶體的外徑的1.1~2.5倍的範圍,控制前述第二線圈部,而使前述電子束的前述照射域能夠包含前述靶體。
  4. 如申請專利範圍第3項之X線產生裝置,其中,更具備檢測部,其係檢測出來自前述靶體的二次電子或從前 述靶體產生的X線或靶電流,前述控制部係根據前述檢測部的檢測訊號來控制前述第二線圈部。
  5. 一種X線產生方法,係對靶部照射電子束來使從前述靶體產生X線之X線產生方法,該靶部係具有:由鑽石所構成的基板、及藉由前述電子束的射入來產生的X線的材料所構成且密合於前述基板來埋設的靶體,其特徵為:將前述靶體的外徑設為0.05~1μm的範圍,將前述電子束之前述靶部的照射域的外徑設為前述靶體的外徑的1.1~2.5倍的範圍,以前述照射域能夠包含前述靶體的方式,將前述電子束照射至前述靶體。
  6. 如申請專利範圍第5項之X線產生方法,其中,在前述基板之前述電子束的射入面側形成有含遷移元素的保護層。
  7. 如申請專利範圍第5或6項之X線產生方法,其中,利用使前述電子束收束的第一線圈部、及使前述電子束偏向的第二線圈部,藉由前述第一線圈部來使前述電子束收束,而使前述電子束之前述靶部的照射域的外徑能夠成為前述靶體的外徑的1.1~2.5倍的範圍,藉由前述第二線圈部來使前述電子束偏向,而使前述電子束的前述照射域能夠包含前述靶體。
  8. 如申請專利範圍第7項之X線產生方法,其中,利用檢測部,其係檢測出來自前述靶體的二次電子或從前述靶體產生的X線或靶電流,根據前述檢測部的檢測訊號來控制前述二次線圈,而使前述電子束偏向。
TW102111690A 2012-05-11 2013-04-01 X線產生裝置及x線產生方法 TW201403649A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012109676 2012-05-11

Publications (1)

Publication Number Publication Date
TW201403649A true TW201403649A (zh) 2014-01-16

Family

ID=49550525

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102111690A TW201403649A (zh) 2012-05-11 2013-04-01 X線產生裝置及x線產生方法

Country Status (7)

Country Link
US (1) US20150117616A1 (zh)
EP (1) EP2849202A4 (zh)
JP (1) JP6224580B2 (zh)
KR (1) KR101968377B1 (zh)
CN (1) CN104285270A (zh)
TW (1) TW201403649A (zh)
WO (1) WO2013168468A1 (zh)

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5901180B2 (ja) * 2011-08-31 2016-04-06 キヤノン株式会社 透過型x線発生装置及びそれを用いたx線撮影装置
JP5871528B2 (ja) * 2011-08-31 2016-03-01 キヤノン株式会社 透過型x線発生装置及びそれを用いたx線撮影装置
JP5871529B2 (ja) * 2011-08-31 2016-03-01 キヤノン株式会社 透過型x線発生装置及びそれを用いたx線撮影装置
US20150117599A1 (en) 2013-10-31 2015-04-30 Sigray, Inc. X-ray interferometric imaging system
US9449781B2 (en) 2013-12-05 2016-09-20 Sigray, Inc. X-ray illuminators with high flux and high flux density
US10297359B2 (en) 2013-09-19 2019-05-21 Sigray, Inc. X-ray illumination system with multiple target microstructures
US9390881B2 (en) 2013-09-19 2016-07-12 Sigray, Inc. X-ray sources using linear accumulation
US10295485B2 (en) 2013-12-05 2019-05-21 Sigray, Inc. X-ray transmission spectrometer system
US10269528B2 (en) 2013-09-19 2019-04-23 Sigray, Inc. Diverging X-ray sources using linear accumulation
US9448190B2 (en) 2014-06-06 2016-09-20 Sigray, Inc. High brightness X-ray absorption spectroscopy system
US9570265B1 (en) 2013-12-05 2017-02-14 Sigray, Inc. X-ray fluorescence system with high flux and high flux density
JP6166145B2 (ja) * 2013-10-16 2017-07-19 浜松ホトニクス株式会社 X線発生装置
US10304580B2 (en) 2013-10-31 2019-05-28 Sigray, Inc. Talbot X-ray microscope
USRE48612E1 (en) 2013-10-31 2021-06-29 Sigray, Inc. X-ray interferometric imaging system
JP6444713B2 (ja) * 2013-12-05 2018-12-26 松定プレシジョン株式会社 X線発生装置
US9594036B2 (en) 2014-02-28 2017-03-14 Sigray, Inc. X-ray surface analysis and measurement apparatus
US9823203B2 (en) 2014-02-28 2017-11-21 Sigray, Inc. X-ray surface analysis and measurement apparatus
US10401309B2 (en) 2014-05-15 2019-09-03 Sigray, Inc. X-ray techniques using structured illumination
US10352880B2 (en) 2015-04-29 2019-07-16 Sigray, Inc. Method and apparatus for x-ray microscopy
JP6377572B2 (ja) * 2015-05-11 2018-08-22 株式会社リガク X線発生装置、及びその調整方法
RU2594172C1 (ru) * 2015-05-21 2016-08-10 Общество С Ограниченной Ответственностью "Твинн" Источник рентгеновского излучения
US10295486B2 (en) 2015-08-18 2019-05-21 Sigray, Inc. Detector for X-rays with high spatial and high spectral resolution
KR101869753B1 (ko) * 2016-10-28 2018-06-22 테크밸리 주식회사 전자빔제어수단을 포함하는 엑스선 발생장치
US10247683B2 (en) 2016-12-03 2019-04-02 Sigray, Inc. Material measurement techniques using multiple X-ray micro-beams
US11094497B2 (en) 2017-02-24 2021-08-17 General Electric Company X-ray source target
WO2018175570A1 (en) 2017-03-22 2018-09-27 Sigray, Inc. Method of performing x-ray spectroscopy and x-ray absorption spectrometer system
US10183179B1 (en) 2017-07-21 2019-01-22 Varian Medical Systems, Inc. Triggered treatment systems and methods
US10609806B2 (en) 2017-07-21 2020-03-31 Varian Medical Systems Particle Therapy Gmbh Energy modulation of a cyclotron beam
US10843011B2 (en) 2017-07-21 2020-11-24 Varian Medical Systems, Inc. Particle beam gun control systems and methods
US10245448B2 (en) * 2017-07-21 2019-04-02 Varian Medical Systems Particle Therapy Gmbh Particle beam monitoring systems and methods
DE102018010288B4 (de) 2018-01-26 2022-12-08 Carl Zeiss Industrielle Messtechnik Gmbh Target für eine Strahlungsquelle, Strahlungsquelle zum Erzeugen invasiver elektromagnetischer Strahlung und Verfahren zum Herstellen eines Targets für eine Strahlungsquelle
DE102018201245B3 (de) 2018-01-26 2019-07-25 Carl Zeiss Industrielle Messtechnik Gmbh Target für eine Strahlungsquelle, Strahlungsquelle zum Erzeugen invasiver elektromagnetischer Strahlung, Verwendung einer Strahlungsquelle und Verfahren zum Herstellen eines Targets für eine Strahlungsquelle
US10578566B2 (en) 2018-04-03 2020-03-03 Sigray, Inc. X-ray emission spectrometer system
DE102018206514A1 (de) * 2018-04-26 2019-10-31 Carl Zeiss Industrielle Messtechnik Gmbh Verfahren und Vorrichtung zur Kontrolle einer Brennfleckposition
WO2019236384A1 (en) 2018-06-04 2019-12-12 Sigray, Inc. Wavelength dispersive x-ray spectrometer
US10658145B2 (en) 2018-07-26 2020-05-19 Sigray, Inc. High brightness x-ray reflection source
US10656105B2 (en) 2018-08-06 2020-05-19 Sigray, Inc. Talbot-lau x-ray source and interferometric system
DE112019004433T5 (de) 2018-09-04 2021-05-20 Sigray, Inc. System und verfahren für röntgenstrahlfluoreszenz mit filterung
CN112823280A (zh) 2018-09-07 2021-05-18 斯格瑞公司 用于深度可选x射线分析的系统和方法
US11152183B2 (en) 2019-07-15 2021-10-19 Sigray, Inc. X-ray source with rotating anode at atmospheric pressure
US11961694B2 (en) 2021-04-23 2024-04-16 Carl Zeiss X-ray Microscopy, Inc. Fiber-optic communication for embedded electronics in x-ray generator
US11864300B2 (en) 2021-04-23 2024-01-02 Carl Zeiss X-ray Microscopy, Inc. X-ray source with liquid cooled source coils

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4573185A (en) * 1984-06-27 1986-02-25 General Electric Company X-Ray tube with low off-focal spot radiation
JPH03274500A (ja) * 1990-03-26 1991-12-05 Jeol Ltd X線源
US5148462A (en) 1991-04-08 1992-09-15 Moltech Corporation High efficiency X-ray anode sources
JPH0756000A (ja) * 1993-08-17 1995-03-03 Ishikawajima Harima Heavy Ind Co Ltd マイクロx線ターゲット
JPH08279344A (ja) * 1994-12-22 1996-10-22 Toshiba Electron Eng Corp X線管及びその製造方法
JPH1187089A (ja) * 1997-09-03 1999-03-30 Mitsubishi Electric Corp 放射線発生装置
JP2001035428A (ja) * 1999-07-22 2001-02-09 Shimadzu Corp X線発生装置
JP2004028845A (ja) 2002-06-27 2004-01-29 Japan Science & Technology Corp 高輝度・高出力微小x線発生源とそれを用いた非破壊検査装置
DE102005053386A1 (de) * 2005-11-07 2007-05-16 Comet Gmbh Nanofocus-Röntgenröhre
JP4962691B2 (ja) * 2005-11-11 2012-06-27 日清紡ホールディングス株式会社 燃料電池セパレータ
WO2008078477A1 (ja) * 2006-12-22 2008-07-03 Stanley Electric Co., Ltd. X線発生装置
JP5687001B2 (ja) * 2009-08-31 2015-03-18 浜松ホトニクス株式会社 X線発生装置
JP5670111B2 (ja) * 2009-09-04 2015-02-18 東京エレクトロン株式会社 X線発生用ターゲット、x線発生装置、及びx線発生用ターゲットの製造方法

Also Published As

Publication number Publication date
CN104285270A (zh) 2015-01-14
KR101968377B1 (ko) 2019-04-11
US20150117616A1 (en) 2015-04-30
JP6224580B2 (ja) 2017-11-01
KR20150010936A (ko) 2015-01-29
JPWO2013168468A1 (ja) 2016-01-07
EP2849202A4 (en) 2015-12-30
EP2849202A1 (en) 2015-03-18
WO2013168468A1 (ja) 2013-11-14

Similar Documents

Publication Publication Date Title
TW201403649A (zh) X線產生裝置及x線產生方法
JP5670111B2 (ja) X線発生用ターゲット、x線発生装置、及びx線発生用ターゲットの製造方法
US20160189909A1 (en) Target for x-ray generation and x-ray generation device
JP5687001B2 (ja) X線発生装置
TWI625737B (zh) X-ray generating device
JP2013051157A (ja) 透過型x線発生装置及びそれを用いたx線撮影装置
US7706506B1 (en) X-ray system for irradiating material used in transfusions
JP5007034B2 (ja) 光電変換素子及びそれを用いた電子線発生装置
KR102390110B1 (ko) 전리 진공계 및 카트리지
TW202303653A (zh) X光產生裝置
JP2012142129A (ja) 軟x線源
JP6416199B2 (ja) 検出器及び電子検出装置
JP6110209B2 (ja) X線発生用ターゲット及びx線発生装置
WO2023188484A1 (ja) 光源装置
JP2008157702A (ja) 電子線・x線源装置およびエアロゾル分析装置
JP2011049127A (ja) X線発生装置
JP2024004028A (ja) X線管
CN117716463A (zh) X射线产生装置
JP2011253785A (ja) X線源
JP2005026505A (ja) 電子線描画装置、電子線描画装置の測定方法