TW201303482A - 用於計算結構之電磁散射特性及用於近似結構之重建之方法及裝置 - Google Patents

用於計算結構之電磁散射特性及用於近似結構之重建之方法及裝置 Download PDF

Info

Publication number
TW201303482A
TW201303482A TW101109958A TW101109958A TW201303482A TW 201303482 A TW201303482 A TW 201303482A TW 101109958 A TW101109958 A TW 101109958A TW 101109958 A TW101109958 A TW 101109958A TW 201303482 A TW201303482 A TW 201303482A
Authority
TW
Taiwan
Prior art keywords
field
electromagnetic
vector field
normal vector
operator
Prior art date
Application number
TW101109958A
Other languages
English (en)
Other versions
TWI456337B (zh
Inventor
Beurden Martijn Constant Van
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Publication of TW201303482A publication Critical patent/TW201303482A/zh
Application granted granted Critical
Publication of TWI456337B publication Critical patent/TWI456337B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • G06F17/11Complex mathematical operations for solving equations, e.g. nonlinear equations, general mathematical optimization problems
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]

Abstract

本發明揭示一種用於重建光柵輪廓之對比源反演算法(CSI)演算法。對電流密度J之一體積積分方程式求解藉由選擇E及J之連續分量而使用了相關於電場ES及電流密度J的向量場FS之隱含建構,以便判定J之一近似解,F在一或多個材料邊界處為連續的。F藉由關於至少一個方向x、y之至少一個有限傅立葉級數來表示,且數值上對該體積積分方程式求解的步驟包含藉由F與包含兩個方向上之材料及幾何形狀結構特性之一迴旋運算子M的迴旋來判定J之一分量。J可藉由關於兩個方向之至少一個有限傅立葉級數來表示。該等連續分量可使用作用於E及J上之迴旋運算子PT及PN來提取。

Description

用於計算結構之電磁散射特性及用於近似結構之重建之方法及裝置
本發明係關於結構之電磁散射特性的計算。本發明可應用於(例如)顯微結構之度量衡中,(例如)以評價微影裝置之臨界尺寸(CD)效能。
微影裝置為將所要圖案施加至基板上(通常施加至基板之目標部分上)的機器。微影裝置可用於(例如)積體電路(IC)之製造中。在該情況下,圖案化器件(其或者被稱為光罩或比例光罩)可用以產生待形成於IC之個別層上的電路圖案。可將此圖案轉印至基板(例如,矽晶圓)上之目標部分(例如,包含晶粒之部分、一個晶粒或若干晶粒)上。通常經由成像至提供於基板上之輻射敏感材料(抗蝕劑)層上而進行圖案之轉印。一般而言,單一基板將含有經順次地圖案化之鄰近目標部分之網路。已知微影裝置包括:所謂步進器,其中藉由一次性將整個圖案曝光至目標部分上來輻照每一目標部分;及所謂掃描器,其中藉由在給定方向(「掃描」方向)上經由輻射光束而掃描圖案同時平行或反平行於此方向而同步地掃描基板來輻照每一目標部分。亦有可能藉由將圖案壓印至基板上而將圖案自圖案化器件轉印至基板。
為了監視微影程序,有必要量測經圖案化之基板的數個參數,例如,形成於基板中或基板上之順次層之間的疊對誤差。存在用於進行在微影程序中形成之顯微結構之量測 的各種技術,包括使用掃描電子顯微鏡及各種專門工具。一種形式之專門檢驗工具為散射計,其中將輻射光束引導至基板之表面上之目標上,且量測散射或反射光束之特性。藉由比較光束在其已由基板反射或散射之前與之後的特性,可判定基板之特性。舉例而言,可藉由比較反射光束與儲存於相關聯於已知基板特性之已知量測庫中之資料進行此判定。兩種主要類型之散射計為吾人所知。光譜散射計將寬頻帶輻射光束引導至基板上,且量測散射至特定窄角範圍中之輻射之光譜(作為波長之函數的強度)。角解析散射計使用單色輻射光束且量測作為角度之函數的散射輻射之強度。
更大體而言,能夠比較散射輻射與自結構模型數學預測之散射行為將為有用的,該等結構模型可自由地建立並進行變化,直至預測到之行為與來自實際樣本之觀測到的散射匹配。不幸的是,儘管原則上知曉了如何藉由計算方案(numerical procedure)來使散射模型化,但已知技術之計算負擔致使此等技術為不實用的,尤其是在需要即時重建的情況下,及/或在所涉及之結構相比在一個維度上為週期性之簡單結構而較複雜之情況下。
CD重建屬於總稱為反向散射的一組問題,其中觀測到之資料與可能實體情況匹配。目標為找到儘可能精密地引起觀測到之資料的實體情況。在散射計之狀況下,電磁理論(馬克士威方程式(Maxwell's equation))允許吾人預測何資料將為給定實體情況之所量測(散射)資料。此稱作前向 散射問題。反向散射問題現為找到對應於實際所量測資料之適當實體情況,反向散射問題通常為高度非線性問題。為了解決此反向散射問題,使用非線性求解器,該求解器使用許多前向散射問題的解決方案。在用於重建之已知做法中,發現了關於三個成份之非線性問題:‧所量測資料與計算自所估計散射設定之資料之間的差之高斯-牛頓(Gauss-Newton)最小化;‧散射設定之參數化之形狀,例如,接觸孔之半徑及高度;‧每當更新參數時,前向問題(例如,所計算之反射係數)之解的足夠高之準確度。
在最近文獻中記載以解決反向散射問題的另一成功做法為對比源反演算法(contrast-source inversion;CSI)[14]。本質上,CSI使用同時對資料失配及馬克士威方程式中之失配求解的公式化,亦即,在每一最小化步驟中並不對馬克士威方程式求解達足夠精度。另外,CSI使用像素化影像而非參數化形狀。
CSI之成功極大地歸因於依據作為基本未知數之所謂對比源 J 及對比函數χ的反向問題的重新公式化。此重新公式化使所量測資料之失配獨立於χ及 J 上之線性問題,而馬克士威方程式中之失配歸因於χ及 J 中之耦合而保持為非線性的。使CSI與體積積分法(VIM)[14]、有限元素法(FEM)[15]及有限差(FD)法[16]成功地組合。然而,所有基礎數值法(VIM、FEM、FD)係基於空間公式化及空間離散化(亦 即,像素或網格)。
需要在半導體處理領域中,迅速地執行電磁散射特性之準確計算。
根據本發明之第一態樣,提供一種計算一結構之電磁散射特性的方法,該結構包括不同特性之材料以便在一材料邊界處引起一電磁場的至少一不連續性,該方法包含:藉由判定一對比電流密度之分量來數值上對該對比電流密度的一體積積分方程式求解,該判定藉由使用一場-材料相互作用運算子來對該電磁場之一連續分量及對應於該電磁場之一按比例調整之電磁通量密度的一連續分量進行運算來進行,該按比例調整之電磁通量密度作為該電磁場及該對比電流密度之不連續分量的一按比例調整和而形成;及使用該對比電流密度之該等所判定分量來計算該結構之電磁分散特性。
根據本發明之第二態樣,提供一種自因藉由輻射對一物件進行之照明而產生的一偵測到之電磁散射特性重建該物件之一近似結構的方法,該方法包含以下步驟:估計至少一個結構參數;自該至少一個結構參數判定至少一個模型電磁散射特性;比較該偵測到之電磁散射特性與該至少一個模型電磁散射特性;及基於該比較之結果判定一近似物件結構,其中使用根據該第一態樣之一方法來判定該模型電磁散射特性。
根據本發明之第三態樣,提供一種用於重建一物件之一 近似結構的檢驗裝置,該檢驗裝置包含:一照明系統,該照明系統經組態以藉由輻射照明該物件;一偵測系統,該偵測系統經組態以偵測因該照明而產生之一電磁散射特性;一處理器,該處理器經組態以:估計至少一個結構參數,自該至少一個結構參數判定至少一個模型電磁散射特性,比較該偵測到之電磁散射特性與該至少一個模型電磁散射特性,且自該偵測到之電磁散射特性與該至少一個模型電磁散射特性之間的一差判定一近似物件結構,其中該處理器經組態以使用根據該第一態樣之一方法來判定該模型電磁散射特性。
根據本發明之第四態樣,提供一種含有用於計算一結構之電磁散射特性的一或多個序列之機器可讀指令之電腦程式產品,該等指令經調適以使一或多個處理器執行根據該第一態樣的一方法。
下文參看隨附圖式詳細地描述本發明之另外特徵及優點,以及本發明之各種實施例之結構及操作。應注意,本發明不限於本文中所描述之特定實施例。本文僅出於說明性目的而呈現此等實施例。基於本文所含有之教示,額外實施例對於熟習相關技術者將顯而易見。
併入本文中且形成本說明書之部分的隨附圖式說明本發明,且連同[實施方式]一起進一步用以解釋本發明之原理且使熟習相關技術者能夠製造及使用本發明。
本發明之特徵及優點已自下文在結合圖式時所闡述之 [實施方式]變得更顯而易見,在該等圖式中,相似元件符號始終標識對應元件。在該等圖式中,相似元件符號通常指示相同、功能上類似及/或結構上類似之元件。一元件第一次出現時之圖式係藉由對應元件符號中之最左側數位指示。
本說明書揭示併入本發明之特徵的一或多個實施例。所揭示實施例僅僅例示本發明。本發明之範疇不限於所揭示實施例。本發明係藉由隨附於本文之申請專利範圍界定。
所描述實施例及在本說明書中對「一個實施例」、「一實施例」、「一實例實施例」等之參考指示所描述實施例可能包括一特定特徵、結構或特性,但每一實施例可能未必包括該特定特徵、結構或特性。此外,此等片語未必指代同一實施例。另外,當結合一實施例來描述一特定特徵、結構或特性時,應理解,無論是否予以明確地描述,結合其他實施例來實現此特徵、結構或特性皆在熟習此項技術者之認識範圍內。
本發明之實施例可按硬體、韌體、軟體或其任何組合予以實施。本發明之實施例亦可被實施為儲存於機器可讀媒體上之指令,該等指令可由一或多個處理器讀取及執行。機器可讀媒體可包括用於儲存或傳輸呈可由機器(例如,計算器件)讀取之形式之資訊的任何機構。舉例而言,機器可讀媒體可包括:唯讀記憶體(ROM);隨機存取記憶體(RAM);磁碟儲存媒體;光學儲存媒體;快閃記憶體器件;電學、光學、聲學或其他形式之傳播信號(例如,載 波、紅外線信號、數位信號等);及其他者。另外,韌體、軟體、常式、指令可在本文中被描述為執行某些動作。然而,應瞭解,此等描述僅僅係出於方便起見,且此等動作事實上因計算器件、處理器、控制器或其他器件執行韌體、軟體、常式、指令等而產生。
然而,在更詳細地描述此等實施例之前,有指導性的是呈現可供實施本發明之實施例的實例環境。
圖1示意性地描繪微影裝置。該裝置包含:照明系統(照明器)IL,其經組態以調節輻射光束B(例如,UV輻射或DUV輻射);支撐結構(例如,光罩台)MT,其經建構以支撐圖案化器件(例如,光罩)MA,且連接至經組態以根據某些參數來準確地定位該圖案化器件之第一定位器PM;基板台(例如,晶圓台)WT,其經建構以固持基板(例如,抗蝕劑塗佈晶圓)W,且連接至經組態以根據某些參數來準確地定位該基板之第二定位器PW;及投影系統(例如,折射投影透鏡系統)PL,其經組態以將由圖案化器件MA賦予至輻射光束B之圖案投影至基板W之目標部分C(例如,包含一或多個晶粒)上。
照明系統可包括用於引導、塑形或控制輻射的各種類型之光學組件,諸如,折射、反射、磁性、電磁、靜電或其他類型之光學組件,或其任何組合。
支撐結構支撐(亦即,承載)圖案化器件。支撐結構以取決於圖案化器件之定向、微影裝置之設計及其他條件(諸如,圖案化器件是否被固持於真空環境中)的方式來固持 圖案化器件。支撐結構可使用機械、真空、靜電或其他夾持技術以固持圖案化器件。支撐結構可為(例如)框架或台,其可根據需要而固定或可移動。支撐結構可確保圖案化器件(例如)相對於投影系統處於所要位置。可認為本文對術語「比例光罩」或「光罩」之任何使用皆與更通用之術語「圖案化器件」同義。
本文所使用之術語「圖案化器件」應被廣泛地解釋為指代可用以在輻射光束之橫截面中向輻射光束賦予圖案以便在基板之目標部分中創製圖案的任何器件。應注意,舉例而言,若被賦予至輻射光束之圖案包括相移特徵或所謂輔助特徵,則圖案可能不會確切地對應於基板之目標部分中之所要圖案。通常,被賦予至輻射光束之圖案將對應於目標部分中所創製之器件(諸如,積體電路)中之特定功能層。
圖案化器件可為透射的或反射的。圖案化器件之實例包括光罩、可程式化鏡面陣列,及可程式化LCD面板。光罩在微影中為吾人所熟知,且包括諸如二元、交變相移及衰減相移之光罩類型,以及各種混合光罩類型。可程式化鏡面陣列之一實例使用小鏡面之矩陣配置,該等小鏡面中之每一者可個別地傾斜,以便在不同方向上反射入射輻射光束。傾斜鏡面在由鏡面矩陣反射之輻射光束中賦予圖案。
本文所使用之術語「投影系統」應被廣泛地解釋為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用之其他因素的任何類型之投影系統,包括折射、反 射、反射折射、磁性、電磁及靜電光學系統,或其任何組合。可認為本文中對術語「投影透鏡」之任何使用均與更通用之術語「投影系統」同義。
如此處所描繪,裝置為透射類型(例如,使用透射光罩)。或者,裝置可為反射類型(例如,使用如上文所提及之類型的可程式化鏡面陣列,或使用反射光罩)。
微影裝置可為具有兩個(雙載物台)或兩個以上基板台(及/或兩個或兩個以上光罩台)之類型。在此等「多載物台」機器中,可並行地使用額外台,或可在一或多個台上進行預備步驟,同時將一或多個其他台用於曝光。
微影裝置亦可為如下類型:其中基板之至少一部分可由具有相對高折射率之液體(例如,水)覆蓋,以便填充在投影系統與基板之間的空間。亦可將浸潤液體施加至微影裝置中之其他空間,例如,在光罩與投影系統之間的空間。浸潤技術在此項技術中被熟知用於增加投影系統之數值孔徑。如本文所使用之術語「浸潤」不意謂諸如基板之結構必須浸漬於液體中,而僅意謂液體在曝光期間位於投影系統與基板之間。
參看圖1,照明器IL自輻射源SO接收輻射光束。舉例而言,當輻射源為準分子雷射時,輻射源與微影裝置可為獨立實體。在此等狀況下,不認為輻射源形成微影裝置之部分,且輻射光束係憑藉包含(例如)合適引導鏡面及/或光束擴展器之光束遞送系統BD而自輻射源SO傳遞至照明器IL。在其他狀況下,舉例而言,當輻射源為水銀燈時,輻 射源可為微影裝置之整體部分。輻射源SO及照明器IL連同光束遞送系統BD(在需要時)可被稱作輻射系統。
照明器IL可包含用於調整輻射光束之角強度分佈之調整器AD。通常,可調整照明器之光瞳平面中之強度分佈的至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,照明器IL可包含各種其他組件,諸如,積光器IN及聚光器CO。照明器可用以調節輻射光束,以在其橫截面中具有所要均一性及強度分佈。
輻射光束B入射於被固持於支撐結構(例如,光罩台MT)上之圖案化器件(例如,光罩MA)上,且由圖案化器件圖案化。在已橫穿光罩MA之情況下,輻射光束B傳遞通過投影系統PL,投影系統PL將該光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器IF(例如,干涉量測器件、線性編碼器、2-D編碼器或電容性感測器),可準確地移動基板台WT,例如,以便使不同目標部分C定位於輻射光束B之路徑中。相似地,第一定位器PM及另一位置感測器(其未在圖1中被明確地描繪)可用以(例如)在自光罩庫之機械擷取之後或在掃描期間相對於輻射光束B之路徑來準確地定位光罩MA。一般而言,可憑藉形成第一定位器PM之部分之長衝程模組(粗略定位)及短衝程模組(精細定位)來實現光罩台MT之移動。相似地,可使用形成第二定位器PW之部分的長衝程模組及短衝程模組來實現基板台WT之移動。在步進器(相對於掃描器)之狀況下,光罩台MT可僅連接至短衝程致動器,或可固定。可使用光罩對 準標記M1、M2及基板對準標記P1、P2來對準光罩MA及基板W。儘管所說明之基板對準標記佔據專用目標部分,但該等標記可位於目標部分之間的空間中(此等標記被稱為切割道對準標記)。相似地,在一個以上晶粒提供於光罩MA上之情況中,光罩對準標記可位於該等晶粒之間。
所描繪裝置可用於以下模式中至少一者中:
1.在步進模式中,在將被賦予至輻射光束之整個圖案一次性投影至目標部分C上時,使光罩台MT及基板台WT保持基本上靜止(亦即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,使得可曝光不同目標部分C。在步進模式中,曝光場之最大大小限制單次靜態曝光中所成像之目標部分C之大小。
2.在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描光罩台MT及基板台WT(亦即,單次動態曝光)。可藉由投影系統PL之放大率(縮小率)及影像反轉特性來判定基板台WT相對於光罩台MT之速度及方向。在掃描模式中,曝光場之最大大小限制單次動態曝光中之目標部分的寬度(在非掃描方向上),而掃描運動之長度判定目標部分之高度(在掃描方向上)。
3.在另一模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,使光罩台MT保持基本上靜止,從而固持可程式化圖案化器件,且移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源,且在基板台WT之每一移動之後或在一掃描期間之順次輻射脈衝之間根據需要而更 新可程式化圖案化器件。此操作模式可易於應用於利用可程式化圖案化器件(諸如,上文所提及之類型之可程式化鏡面陣列)之無光罩微影。
亦可使用對上文所描述之使用模式之組合及/或變化或完全不同的使用模式。
如圖2所示,微影裝置LA形成微影單元LC(有時亦稱為叢集)之部分,微影單元LC亦包括用以對基板執行曝光前程序及曝光後程序之裝置。通常,此等裝置包括用以沈積抗蝕劑層之旋塗器SC、用以顯影經曝光抗蝕劑之顯影器DE、冷卻板CH,及烘烤板BK。基板處置器或機器人RO自輸入/輸出埠I/O1、I/O2拾取基板、在不同程序裝置之間移動基板,且接著將基板遞送至微影裝置之裝載匣LB。常被統稱作塗佈顯影系統之此等器件係在塗佈顯影系統控制設備TCU之控制下,塗佈顯影系統控制設備TCU自身受到監督控制系統SCS控制,監督控制系統SCS亦經由微影控制設備LACU而控制微影裝置。因此,不同裝置可經操作以最大化產出率及處理效率。
為了正確地且一致地曝光由微影裝置曝光之基板,需要檢驗經曝光基板以量測諸如後續層之間的疊對誤差、線厚度、臨界尺寸(CD)等之特性。若偵測到誤差,則可對後續基板之曝光進行調整(尤其是在檢驗可足夠迅速地且快速地進行以使得同一批量之其他基板仍待曝光的情況下)。又,已經曝光之基板可被剝離及重做(以改良良率)或被捨棄,藉此避免對已知有缺陷之基板執行曝光。在基板之僅 一些目標部分有缺陷之狀況下,可僅對良好的彼等目標部分執行另外曝光。
使用檢驗裝置以判定基板之特性,且尤其是判定不同基板或同一基板之不同層之特性如何在層與層之間變化。檢驗裝置可整合至微影裝置LA或微影單元LC中,或可為單獨器件。為了實現最迅速量測,需要使檢驗裝置在曝光之後立即量測經曝光抗蝕劑層中之特性。然而,抗蝕劑中之潛影具有極低對比度(在已曝光至輻射的抗蝕劑之部分與尚未曝光至輻射的抗蝕劑之部分之間僅存在極小折射率差),且並非所有檢驗裝置皆具有對進行潛影之有用量測之足夠敏感度。因此,可在曝光後烘烤步驟(PEB)之後採取量測,曝光後烘烤步驟(PEB)通常為對經曝光基板所進行之第一步驟且增加抗蝕劑之經曝光部分與未經曝光部分之間的對比度。在此階段時,抗蝕劑中之影像可被稱作半潛像(semi-latent)。亦有可能進行經顯影抗蝕劑影像之量測(此時,抗蝕劑之經曝光部分或未經曝光部分已被移除),或在圖案轉印步驟(諸如,蝕刻)之後進行經顯影抗蝕劑影像之量測。後者的可能性限制重做有缺陷基板之可能性,但仍可提供有用資訊。
圖3描繪可用於本發明之實施例中之散射計。散射計包含寬頻帶(白光)輻射投影儀2,其將輻射投影至基板W上。反射輻射傳遞至光譜儀偵測器4,其量測鏡面反射輻射之光譜10(作為波長之函數的強度)。自此資料,可由處理設備PU重建引起經偵測光譜之結構或剖面,例如,按照慣例 藉由嚴密耦合波分析(RCWA)及非線性回歸,或藉由與如圖3之底部處所示之模擬光譜庫的比較。一般而言,對於重建,結構之一般形式為吾人所知,且自對藉以製造結構之程序之認識來假定一些參數,從而僅留下結構之少許參數自散射量測資料予以判定。此散射計可組態為正入射散射計或斜入射散射計。
圖4中展示可用於本發明之實施例中的另一散射計。在此器件中,由輻射源2發射之輻射係使用透鏡系統12予以聚焦從而通過干涉濾光器13及極化器17、由部分反射表面16反射且經由顯微鏡接物鏡15而聚焦至基板W上,顯微鏡接物鏡15具有高數值孔徑(NA),較佳為至少0.9且更佳為至少0.95。浸潤散射計可甚至具有數值孔徑超過1之透鏡。反射輻射接著通過部分反射表面16而透射至偵測器18中,以便使散射光譜被偵測。偵測器可位於處於透鏡系統15之焦距之背向投影式光瞳平面11中,然而,該光瞳平面可改為用輔助光學件(圖中未示)再成像至偵測器上。光瞳平面為輻射之徑向位置界定入射角且角位置界定輻射之方位角的平面。偵測器較佳為二維偵測器,使得可量測基板目標30之二維角散射光譜。偵測器18可為(例如)CCD或CMOS感測器陣列,且可使用(例如)每圖框40毫秒之積分時間。
舉例而言,常使用參考光束以量測入射輻射之強度。為了進行此量測,當輻射光束入射於光束分裂器16上時,輻射光束之部分朝向參考鏡面14作為參考光束而透射通過該 光束分裂器。參考光束接著投影至同一偵測器18之不同部分上。
干涉濾光器13之集合可用以選擇在(比如)405奈米至790奈米或甚至更低(諸如,200奈米至300奈米)之範圍內之所關注波長。干涉濾光器可為可調諧的,而非包含不同濾光器之集合。可使用光柵以代替干涉濾光器。
偵測器18可量測散射光在單波長(或窄波長範圍)下之強度、獨立地在多個波長下之強度,或遍及一波長範圍而積分之強度。此外,偵測器可獨立地量測橫向磁極化光及橫向電極化光之強度,及/或橫向磁極化光與橫向電極化光之間的相位差。
使用寬頻帶光源(亦即,具有寬光頻率或波長範圍且因此具有寬顏色範圍之光源)係可能的,其給出大光展量(etendue),從而允許多個波長混合。寬頻帶中之複數個波長較佳地各自具有△λ之頻寬及至少2△λ(亦即,為頻寬之兩倍)之間隔。若干輻射「源」可為已使用光纖束而分裂的延伸型輻射源之不同部分。以此方式,可並行地在多個波長下量測角度解析散射光譜。可量測3-D光譜(波長及兩個不同角度),其相比於2-D光譜含有較多資訊。此舉允許量測較多資訊,其增加度量衡程序穩固性。此情形更詳細地描述於全文以引用之方式併入本文中的EP1,628,164A中。
基板W上之目標30可為光柵,其經印刷以使得在顯影之後,條狀物(bar)係由固體抗蝕劑線形成。條狀物可或者被 蝕刻至基板中。此圖案對微影投影裝置(尤其是投影系統PL)中之色像差敏感,且照明對稱性及此等像差之存在將使其自身表現為經印刷光柵之變化。因此,使用經印刷光柵之散射量測資料以重建光柵。自對列印步驟及/或其他散射量測程序之認識,可將光柵之參數(諸如,線寬及形狀)輸入至由處理設備PU執行之重建程序。
模型化
如上文所描述,目標係在基板之表面上。此目標將常採取在光柵中之一系列線之形狀或在2-D陣列中之實質上矩形結構的形狀。度量衡嚴密光學繞射理論之目的實際上為計算自目標反射之繞射光譜。換言之,針對CD(臨界尺寸)均一性及疊對度量衡來獲得目標形狀資訊。疊對度量衡為量測兩個目標之疊對以便判定基板上之兩個層是否對準的量測系統。CD均一性簡單地為用以判定微影裝置之曝光系統如何運轉的光譜上之光柵之均一性的量測。具體言之,CD(即,臨界尺寸)為「書寫」於基板上之物件之寬度,且為微影裝置實體上能夠在基板上書寫之極限。
在結合目標結構(諸如,目標30)及其繞射特性之模型化而使用上文所描述之散射計中之一者的情況下,可按數種方式執行該結構之形狀及其他參數的量測。在由圖5表示的第一類型之程序中,計算基於目標形狀(第一候選結構)之第一估計的繞射圖案,且比較該繞射圖案與觀測到之繞射圖案。接著系統地變化模型之參數且以一系列反覆重新計算繞射,以產生新候選結構且因此達到最好擬合。在由 圖6表示的第二類型之程序中,預先計算許多不同候選結構之繞射光譜以創製繞射光譜「庫」。接著,比較自量測目標觀測到之繞射圖案與經計算光譜庫以找到最好擬合。可一起使用兩種方法:可自庫獲得粗略擬合,繼之以進行反覆程序以找到最好擬合。
更詳細地參看圖5,將總括地描述進行目標形狀及/或材料特性之量測的方式。對於此描述,將假定目標係1維(1-D)週期性結構。實務上,目標可為2維週期性的,且處理將相應地進行調適。
在步驟502中,使用散射計(諸如,上文所描述之散射計)來量測基板上之實際目標的繞射圖案。將此經量測繞射圖案轉遞至諸如電腦之計算系統。計算系統可為上文所提及之處理設備PU,或其可為獨立裝置。
在步驟503中,建立「模型配方」,其依據數個參數pi(p1、p2、p3等)來界定目標結構之參數化模型。在1D週期性結構中,此等參數可表示(例如)側壁之角度、特徵之高度或深度、特徵的寬度。目標材料及基礎層之特性亦由諸如折射率(在存在於散射量測輻射光束中之特定波長下)之參數表示。下文將給出特定實例。重要的是,雖然目標結構可藉由描述其形狀及材料特性之許多參數界定,但出於以下程序步驟之目的,模型配方將界定此等參數中之許多者以具有固定值,而其他者將為可變或「浮動」參數。
在步驟504中:藉由設定浮動參數之初始值pi (0)(亦即,p1 (0)、p2 (0)、p3 (0)等)來估計模型目標形狀。將在某些預定 範圍內產生每一浮動參數,如配方中所界定。
在步驟506中,使用表示經估計形狀之參數以及模型之不同元件之光學特性以(例如)使用諸如RCWA之嚴密光學繞射方法或馬克士威方程式之任何其他求解器來計算散射特性。此計算給出經估計目標形狀之經估計或模型繞射圖案。
在步驟508及510中,接著比較經量測繞射圖案與模型繞射圖案,且使用其相似性及差以計算模型目標形狀的「優質化函數(merit function)」。
在步驟512中,在假定優質化函數指示在模型準確地表示實際目標形狀之前需要改良模型的情況下,估計新參數p1 (1)、p2 (1)、p3 (1)等,且將該等新參數反覆地回饋至步驟506中。重複步驟506至512。
為了輔助搜尋,步驟506中之計算可在參數空間中之此特定區中進一步產生優質化函數之偏導數,其指示增加或減低參數將會增加或減低優質化函數之敏感度。優質化函數之計算及導數之使用在此項技術中通常為吾人所知,且此處將不予以詳細地描述。
在步驟514中,當優質化函數指示此反覆程序已以所要準確度收斂於一解時,將當前經估計參數報告為實際目標結構之量測。
此反覆程序之計算時間係主要地藉由所使用之前向繞射模型判定,亦即,使用嚴密光學繞射理論而自經估計目標結構來計算經估計模型繞射圖案。若需要更多參數,則存 在更多自由度。計算時間原則上隨著自由度之數目之冪而增加。
可按各種形式來表達在506處計算之經估計或模型繞射圖案。若以與在步驟510中產生之經量測圖案相同的形式來表達經計算圖案,則會簡化比較。舉例而言,可容易地比較經模型化光譜與由圖3之裝置量測之光譜;可容易地比較經模型化光瞳圖案與由圖4之裝置量測之光瞳圖案。
遍及自圖5開始之此描述,在假定使用圖4之散射計的情況下,將使用術語「繞射圖案」。熟習此項技術者可易於使教示適應於不同類型之散射計,或甚至適應於其他類型之量測器具。
圖6說明一替代實例程序,其中預先計算不同經估計目標形狀(候選結構)之複數個模型繞射圖案,且將該複數個模型繞射圖案儲存於庫中以供與實際量測比較。基礎原理及術語與圖5之程序之基礎原理及術語相同。圖6之程序之步驟為:
在步驟602中,執行產生庫之程序。可針對每一類型之目標結構來產生一獨立庫。庫可由量測裝置之使用者根據需要而產生,或可由該裝置之供應商預產生。
在步驟603中,建立「模型配方」,其依據數個參數pi(p1、p2、p3等)來界定目標結構之參數化模型。考慮因素類似於反覆程序之步驟503中之考慮因素。
在步驟604中,例如藉由產生所有參數之隨機值來產生參數p1 (0)、p2 (0)、p3 (0)等之第一集合,該等參數各自係在 其預期值範圍內。
在步驟606中,計算模型繞射圖案且將其儲存於庫中,其表示自藉由參數表示之目標形狀所預期之繞射圖案。
在步驟608中,產生參數p1 (1)、p2 (1)、p3 (1)等之新集合。重複步驟606至608達數十次、數百次或甚至數千次,直至包含所有經儲存之經模型化繞射圖案之庫被判斷為足夠完整為止。每一經儲存圖案表示在多維參數空間中之一樣本點。庫中之樣本應以足夠密度填入樣本空間,以使得將足夠精密地表示任何實際繞射圖案。
在步驟610中,在產生庫之後(但可在產生庫之前),將實際目標30置放於散射計中,且量測其繞射圖案。
在步驟612中,比較經量測圖案與儲存於庫中之經模型化圖案以找到最好匹配圖案。可與庫中之每一樣本進行比較,或可使用更系統之搜尋策略,以減輕計算負擔。
在步驟614中,若找到匹配,則可將用以產生匹配庫圖案之經估計目標形狀判定為近似物件結構。將對應於匹配樣本之形狀參數輸出為經量測形狀參數。可直接地對模型繞射信號執行匹配程序,或可對經最佳化以供快速評估之取代模型執行匹配程序。
在步驟616中,視情況,將最近匹配樣本用作起點,且使用改進程序以獲得供報告之最終參數。舉例而言,此改進程序可包含極類似於圖5所示之反覆程序的反覆程序。
是否需要改進步驟616取決於實施者之選擇。若庫被極密集地取樣,則因為將總是找到良好匹配,所以可能不需 要反覆改進。另一方面,對於實務使用,此庫可能過大。因此,一實務解決方案係針對粗略參數集合來使用庫搜尋,繼之以使用優質化函數進行一或多次反覆以判定較準確參數集合而以所要準確度報告目標基板之參數。在執行額外反覆時,將經計算繞射圖案及相關聯之經改進參數集合作為新輸入項添加於庫中將為一選項。以此方式,可最初使用一庫,該庫係基於相對少量計算工作量,但使用改進步驟616之計算工作量而構建成較大庫。無論使用哪一方案,亦可基於多個候選結構之匹配之良好度而獲得經報告可變參數中之一或多者之值的另外改進。舉例而言,可藉由在兩個或兩個以上候選結構之參數值之間內插而產生最終報告之參數值(假定彼等候選結構之兩者或全部具有高匹配計分)。
此反覆程序之計算時間係主要地藉由步驟506及606處之前向繞射模型判定,亦即,使用嚴密光學繞射理論而自經估計目標形狀來計算經估計模型繞射圖案。
對於2D週期性結構之CD重建,通常在前向繞射模型中使用RCWA,而亦已報告了微分法、體積積分法(VIM)、有限差時域(FDTD)及有限元素法(FEM)。接近被使用傅立葉(Fourier)擴展之單位單元的邊界,如(例如)用於RCWA及微分法中之傅立葉級數擴展亦可藉由使用完全匹配層(PML)或其他類型之吸收邊界條件而用以分析非週期性結構,從而模擬朝向無窮大之輻射。
法向向量場
在嚴密繞射模型化中,已論證[1],可藉由引入越過材料界面而連續的輔助中間場 F 代替 E 場及 D 場來顯著改良解的收斂, E 場及 D 場具有越過此等界面而不連續之分量。改良之收斂以較小計算成本導致較準確之答案,計算成本為尤其針對2D週期性繞射光柵之光學散射量測中之數個主要挑戰中的一者。
使用所謂法向向量場(垂直於材料界面之虛擬向量場)來公式化此向量場 F 。在RCWA之內容背景內,在[3、5]中已提議了產生法向向量場的演算法。已不僅結合RCWA使用了法向向量場,而且結合微分法使用了法向向量場。
然而,法向向量場之概念之主要困難中的一者為法向向量場自身在整個計算域上之實際產生。存在對產生此場之極少約束,但同時存在相關於其產生之許多待研究問題。必須針對完全幾何形狀設定來產生法向向量場,且不可在不留意連接材料界面的情況下對隔離域進行運算。已提議了使用施瓦茲-克里斯多福(Schwartz-Christoffel)變換之解決方案[3],但所有此等方法受缺少產生任意形狀之法向向量場的靈活性或達到高計算成本的靈活性的影響[5]。兩者正在破壞快速建構,此係由於對於重建而言,重要的是在光柵結構之尺寸變化的情況下追蹤連續變化之法向向量場。此為重要的,因為不連續演進之法向向量場可中斷導引重建程序之總體非線性求解器的收斂。另一問題為設定法向向量場所需要的時間。此計算額外耗用應儘可能低,以允許快速分析及重建。
1.體積積分法
RCWA之主要問題中的一者為,RCWA針對2D週期性結構需要大量中央處理設備(CPU)時間及記憶體,此係由於需要對一序列之特徵值/特徵向量求解並串聯。對於FDTD及FEM而言,CPU時間通常亦過高。
已知體積積分法(如在[9]、美國專利第6,867,866 B1號及美國專利第7,038,850 B2號中所揭示)係基於:全空間離散化方案,其關於網格改進顯現緩慢收斂;或光譜離散化方案,其關於增加數目之諧波顯現低劣收斂。作為替代,已提議了併有試誤法以改良收斂的光譜離散化方案[9]。
必須針對VIM求解之線性系統與RCWA相比大得多,但若以反覆方式進行求解,則僅需要矩陣-向量積與若干個向量的儲存。因此,與RCWA相比,記憶體使用通常低得多。潛在瓶頸為矩陣-向量積自身之速度。若Li規則[10、11]應用於VIM中,則歸因於若干反子矩陣之存在,矩陣-向量積將慢得多。或者,可忽略Li規則且可將FFT用來獲得快速矩陣-向量積,但低劣收斂之問題仍然存在。
圖7示意性說明可根據本發明之實施例重建的散射幾何形狀。基板802為在z方向上分層之介質的下部部分。展示其他層804及806。在x及y方向上為週期性之二維光柵808展示為在層狀介質之上。xyz軸亦展示為810。入射場812與結構802至808相互作用,且由結構802至808散射,從而導致反射場814。因此,結構在至少一個方向xy上為週期性的,且包括不同特性之材料,以在不同材料之間 的材料邊界處引起電磁場 E tot 之不連續性,該電磁場 E tot 包含入射電磁場分量 E inc 與經散射電磁場分量 E s 的總和。
圖8展示背景之結構,且圖9示意性說明可用以計算入射場與層狀介質之相互作用的格林函數(Green's function)。在圖8及圖9中,層狀介質802至806對應於與圖7中之結構相同的結構。在圖8中,亦展示xyz軸810以及入射場812。亦展示經直接反射之場902。參看圖9,點源(x',y',z')904表示格林函數與產生場906之背景的相互作用。在此狀況下,因為點源904在頂部層806上方,所以僅存在自具有周圍介質之806之頂部界面起的一個背景反射908。若點源在層狀介質內,則在向上及向下兩個方向(圖中未示)上將存在背景反射。
待求解之VIM公式為
J c (x',y',z')=χ(x',y',z')E tot (x',y',z') (0.2)在此方程式中,入射場 E inc 為入射角、極化及振幅之已知函數, E tot 係未知且被求解之總電場, J c 為對比電流密度,為格林函數(3×3矩陣),χ為藉由jω(ε(x,y,z,)-ε b (z))給出之對比函數,其中ε為結構之電容率,且ε b 為背景介質的電容率。χ在光柵外部為零。
對於包括802至806之層狀介質而言,格林函數(x,x',y,y',z,z')為已知且可計算的。格林函數展示xy平面中之 迴旋及/或模態分解(m 1,m 2),且中之沿z軸之主要計算負擔為迴旋。
為了離散化,在xy平面中以布洛赫/弗羅奎(Bloch/Floquet)模式擴展總電場。與χ之乘法變成:(a)xy平面中之離散迴旋(2D FFT);及(b)z中的積。xy平面中之格林函數相互作用係按照模式之相互作用。z中之格林函數相互作用係可利用具有複雜度O(NlogN)之一維(1D)FFT可執行的迴旋。
xy中之模式的數目為M 1 M 2,且z中之樣本的數目為N
有效矩陣-向量積具有複雜度O(M 1 M 2 N log(M 1 M 2 N)),且儲存複雜度為O(M 1 M 2 N)。
使用基於例如BiCGstab(l)(穩定雙共軛梯度法)之克利洛夫(Krylov)子空間法之反覆求解器來執行Ax=b的VIM求解方法,該求解方法通常具有以下步驟: 按照r n =b-Ax n 定義殘餘誤差
經由殘餘誤差計算更新向量v n
更新解:x n+1 =x n +α n v n
更新殘餘誤差r n + 1 =r n -α n Av n
圖10為對對應於VIM公式之線性系統求解之高階方法的流程圖。此流程圖為藉由數值上對體積積分求解來計算結構之電磁散射特性的方法。在最高階,第一步驟為預處理1002,其包括讀取輸入並準備FFT。下一步驟為計算解1004。最終,執行後處理1006,其中計算反射係數。步驟1004包括亦展示於圖10之右側的各種步驟。此等步驟為計 算入射場1008、計算格林函數1010、計算更新向量1012、更新解及殘餘誤差(例如,使用BiCGstab)1014,及進行測試查看是否達到收斂1016。若未達到收斂,則控制迴圈返回至步驟1012,即,計算更新向量。
圖11說明使用如在先前技術中已知之體積積分法計算對應於圖10之步驟1012的更新向量中之步驟,該體積積分法為藉由數值上對電場E之體積積分方程式求解來計算結構之電磁散射特性的方法。
在光譜域中,積分表示依據入射場及對比電流密度來描述總電場,其中對比電流密度與格林函數相互作用,亦即 其中m 1m 2 Z另外,表示在z方向上經平面地層化之背景介質的光譜格林函數,e(m 1,m 2,z)表示在xy平面中之光譜基底中書寫的總電場 E (x,y,z)之光譜分量,且 j (m 1,m 2,z)表示亦在xy平面中之光譜基底中書寫的對比電流密度 J c (x,y,z)的光譜分量。
第二方程式係總電場與對比電流密度之間的關係,該關係本質上為藉由存在於組態中之材料界定的構成關係,亦即J c (x,y,z)=[ε(x,y,z)-ε b (z)]E(x,y,z) (1.2)其中 J c 表示對比電流密度,ω為角頻率,ε(x,y,z)為組態之 電容率,ε b (z)為經層化背景之電容率,且E表示總電場,前述各者皆以空間基礎書寫。
如[9]中所提議,直接做法為將方程式(1.2)直接變換至光譜域,亦即 其中M 1l M 2l 為光譜下限,且M 1h M 2h 為光譜上限,光譜下限及光譜上限係針對 E J c 之有限傅立葉表示而加以考慮。另外,χ s (k,l,z)為關於橫向(xy)平面的對比函數χ(x,y,z)的傅立葉係數。
步驟1102為以四維(4D)陣列重新組織向量。在此陣列中,第一維度具有三個元素。第二維度具有m 1之所有值的元素。第三維度具有m 2之所有值的元素。第四維度具有z之每一值的元素。因此,4D陣列儲存對比電流密度之光譜(在xy平面中)表示(m 1,m 2,z)。針對每一模式(亦即,同時針對z中之所有樣本點),執行步驟1104至1112。在步驟1106旁下行之三個虛線平行箭頭對應於計算方程式(1.1)中之積分項,該積分項係與對比電路密度的背景相互作用。此計算使用光譜域中之乘法(關於z方向)藉由(m 1, m2,z)與空間(關於z方向)格林函數之迴旋來執行。
詳言之,在步驟1104中,取出光譜對比電流密度(m 1, m2,z)作為xyz中之每一者的三個1D陣列。在步驟1106中,藉由關於z方向針對三個陣列中的每一者計 算至光譜域中之前向1D FFT來開始迴旋以產生(m1,m2,k z ),其中k z 為關於z方向之傅立葉變數。在步驟1108中,將對比電流密度之經截斷之傅立葉變換在光譜域中(關於z方向)與空間格林函數之傅立葉變換相乘。在步驟1110中,執行關於z方向至空間域中之反向1D FFT。在步驟1112中,將關於z之空間域中的背景反射(參見圖9中之908)相加。背景反射與格林函數之此分離為習知技術,且如熟習此項技術者將瞭解,可藉由相加等級為1之投影來執行步驟。由於處理了每一模式,接著處理經散射電場之更新向量(E x ,E y ,E z )(m 2,m 2,z),因此在步驟1114中將所計算光譜對比電流密度置放回至4D陣列中。
接著,針對每一樣本點(亦即,同時針對所有模式),執行步驟1116至1122。自圖11中之步驟1114下行之三個平行虛線箭頭對應於藉由步驟1116至1122針對每一樣本點z進行的三個2D陣列之處理,E x E y E z 中每一者一個。此等步驟執行經散射電場之光譜(在xy平面中)表示(E x ,E y ,E z )(m 2,m 2,z)與材料特性之迴旋,以關於經散射電場計算對比電流密度的光譜(在xy平面中)表示(m 1,m 2,z)。在現僅針對e讀取經散射場而非總電場的意義上,此等步驟對應於方程式(1.3)。詳言之,步驟1116涉及取出三個2D陣列(兩個維度針對m 1m 2)。在步驟1118中,針對三個陣列中之每一者,計算至空間域中的前向2D FFT。在步驟1120中,使三個陣列中之每一者乘以藉由傅立葉表示之截斷濾波的對比函數χ(x,y,z)之空間表示。在步驟1122中藉由 至光譜(在xy平面中)域中之反向2D FFT完成迴旋,從而關於經散射電場產生光譜對比電流密度(m 1,m 2,z)。在步驟1124中,將計算出之光譜對比電流密度置放回至4D陣列中。
下一步驟為在1126中以向量重新組織4D陣列,該步驟1126不同於步驟1102「以4D陣列重新組織向量」在於,步驟1126為逆運算:每一一維索引唯一地相關於一四維索引。最後,在步驟1128中,自輸入向量減去自步驟1126輸出的向量,從而對應於乘以對比函數χ(x,y,z)的方程式(1.1)之右側的減法。輸入向量為在圖11中之步驟1102處鍵入之向量,且含有(m 1,m 2,z)。
圖11中描述之方法的問題為,該方法導致低劣收斂。此低劣收斂係由經截斷之傅立葉空間表示的電容率及對比電流密度的並行跳躍引起。如上文所論述,在VIM方法中,Li反向規則並不適用於克服收斂問題,此係因為在VIM中,反向規則之複雜度因為在VIM數值求解中需要之極大數目個反向運算而導致極大計算負擔。本發明之實施例在不藉助於使用如藉由Li描述之反向規則的情況下克服由並行跳躍引起的收斂問題。藉由避免反向規則,本發明之實施例並不犧牲在VIM做法中以反覆方式對線性系統求解所需要之矩陣-向量積的效率。
圖12說明本發明之實施例。此實施例涉及數值上對對比電流密度J的體積積分方程式求解。此求解藉由判定對比電流密度J之分量來執行,該判定藉由使用場-材料相互作 用運算子M來對電磁場E S之連續分量及對應於電磁場E S之按比例調整之電磁通量密度D S的連續分量進行運算來進行,該按比例調整之電磁通量密度D S作為電磁場E S及對比電流密度J之不連續分量的按比例調整和而形成。此實施例藉由選擇EJ之分量來使用相關於電場E S及電流密度J的向量場F S之隱含建構,以便判定電流密度J之近似解,向量場F在一或多個材料邊界處為連續的。向量場F藉由關於至少一個方向xy之至少一個有限傅立葉級數來表示,且數值上對體積積分方程式求解之步驟包含藉由向量場F與場-材料相互作用運算子M之迴旋來判定電流密度J的分量。場-材料相互作用運算子M包含在至少一個方向xy上之結構的材料及幾何形狀特性。電流密度J可為對比電流密度,且藉由關於至少一個方向xy之至少一個有限傅立葉級數來表示。另外,連續分量提取運算子為作用於電場E及電流密度J上之迴旋運算子PT及Pn。使用諸如選自包含快速傅立葉變換(FFT)及數論變換(NTT)之集合之變換的一種變換來執行迴旋。迴旋運算子M根據有限離散迴旋進行運算,以便產生有限結果。因此,結構在至少一個方向上為週期性的,且電磁場之連續分量、按比例調整之電磁通量密度的連續分量、對比電流密度之分量及場-材料相互作用運算子關於至少一個方向藉由至少一個各別有限傅立葉級數表示於光譜域中,且該方法進一步包含藉由計算傅立葉係數來判定場-材料相互作用運算子的係數。本文中所描述之方法亦對基於除傅立葉域之連續函數外的連續函 數之擴展有意義,例如,對依據作為一般種類之偽光譜方法之表示的切比雪夫多項式之擴展或對在伽柏基礎上之擴展有意義。
圖12展示:藉由使用中間向量場F來對電流密度J之VIM系統求解的步驟1202,該中間向量F使用連續分量提取運算子形成;與藉由使格林函數運算子作用於電流密度J上來獲得總電場F的後處理步驟1204。圖12亦在右側展示執行有效矩陣-向量積1206至1220以反覆地對VIM系統求解的示意性說明。在步驟1206中,此求解以電流密度J開始。第一次設定J時,其可自零開始。在該初始步驟之後,藉由反覆求解器及殘餘值來導引J之估計。在步驟1208中,計算格林函數G與對比電流密度J之間的迴旋及等級為1之投影以產生經散射電場E s。又,在步驟1214中,使用藉由作用於經散射電場E s及電流密度J上之兩個連續分量提取運算子PT及Pn進行之迴旋來計算中間向量場F。因此,在步驟1210中,使用第一連續分量提取運算子PT以提取電磁場E s之連續分量,且第二連續分量提取運算子Pn在步驟1212中用以提取按比例調整之電磁通量密度D s的連續分量。在步驟1216中,場-材料相互作用運算子(M)對所提取之連續分量進行運算。步驟1214表示自步驟1210中獲得之電磁場之連續分量及步驟1212中獲得之按比例調整之電磁通量密度的連續分量形成向量場F s,該向量場F s在材料邊界處為連續的。判定對比電流密度之分量的步驟1216藉由使用場-材料相互作用運算子M對向量場F s進行運算來執 行。針對z中之每一樣本點執行步驟1210至1216,其中經由FFT執行迴旋。可使用諸如包含快速傅立葉變換(FFT)及數論變換(NTT)之集合之變換的一種變換來執行迴旋。運算1218自J減去兩個計算出之結果J S以在1220中獲得相關於入射電場E incJ inc之近似值。因為步驟1206至1220產生更新向量,所以接著後處理步驟1204用以產生總電場E的最終值。
可在步驟1208而非獨立後處理步驟1204中記錄所有更新向量之和以便計算經散射電場E S,且後處理步驟變為僅將入射電場E inc與經散射電場相加。然而,該做法增加了該方法之儲存要求,而與反覆步驟1206至1220相比,後處理步驟1204在儲存或處理時間上為成本低廉的。
圖13a為根據本發明之實施例之更新向量的計算的流程圖。圖13之流程圖對應於圖12之右側的步驟(步驟1206至1220)。
在步驟1302中,以4D陣列重新組織向量。
隨後,以與參看圖11中之相應同樣編號之步驟所描述的方式相同的方式藉由步驟1104至1114針對每一模式m 1m 2來計算格林函數與背景的相互作用。
接著,針對z中之每一樣本點(亦即,針對每一層),執行步驟1304至1318。在步驟1304中,自4D陣列取出2D陣列。此三個2D陣列(E x ,E y ,E z )(m 1,m 2,z)對應於經散射電場 E 之笛卡兒分量,每一2D陣列具有對應於m 1m 2的2個維度。在1306中,針對由(E x ,E y ,E z )(m 1,m 2,z)表示之三個陣列中之每一 者,由(F x ,F y ,F z )(m 1,m 2,z)表示之連續向量場的迴旋以步驟1306中計算至空間域中之前向2D FFT開始。在步驟1308中,將自步驟1306獲得之傅立葉變換(E x ,E y ,Ez)(x,y,z)在空間域中與空間乘法運算子MP T (x,y,z)相乘,該空間乘法運算子MP T (x,y,z)具有兩個功能:首先,空間乘法運算子MP T (x,y,z)藉由應用切線投影運算子P T 而濾除經散射電場之連續分量,因此產生連續向量場 F 的切線分量;且第二,空間乘法運算子MP T (x,y,z)執行與僅關於經散射場之對比函數M之乘法運算,該對比函數M使連續向量場 F 與對比電流密度 J 相關。
將在步驟1114中置放於4D陣列中之經散射電場(E x ,E y ,E z )(m 2,m 2,z)饋入至如上文所論述之步驟1304及如下文所論述之步驟1310兩者中。
在步驟1310中,針對z中之每一樣本點(亦即,針對每一層),作為自步驟1114獲得之經散射電場與自步驟1302前饋之對比電流密度的按比例調整和形成經散射電通量密度 D 之按比例調整型式,之後取出三個2D陣列,從而對應於在光譜域中的 D 之笛卡兒分量。在步驟1312中,執行此等陣列之2D FFT,從而產生空間域中的笛卡兒分量(D x ,D y ,D z )(x,y,z)。在步驟1314中,將此等陣列在空間域中與乘法運算子MP n 相乘,MP n 具有如下兩個功能:首先,按比例調整之通量密度的法向分量(其為連續的)經濾除且產生連續向量場之法向分量 F ;且第二,乘法運算子MP n 執行與僅關於經散射場之對比函數M之乘法運算,該對比函數M使連續向量場 F 與對比電流密度 J 相關。接著在步驟 1316中,針對連續向量場 F 之所有分量,亦即,切線分量及法向分量兩者,組合步驟1308及1314之結果以產生運算M F 的近似值。接著,在步驟1318中,藉由至光譜域中之反向2D FFT而變換M F ,以產生由M F(m1,m2,z)表示的光譜對比電流密度之近似值。在步驟1320中,將相關於經散射場之光譜對比電流密度置放回至4D陣列中。
在步驟1320中,將相關於經散射場之所得光譜對比電流密度置放回至4D陣列中,且隨後在步驟1322中變換回至向量。此意謂,4D陣列之每一四維索引唯一地相關於向量之一維索引。最後,在步驟1324中,藉由自前饋自步驟1302之輸入的總對比電流密度減去步驟1322之結果來完成相關於入射電場之已知對比電流密度 J inc之近似值的計算。
參看圖14,藉由使用法向向量場n以濾除與至少一個材料邊界相切之電磁場E之連續分量E T 且亦濾除垂直於至少一個材料邊界的電磁通量密度D之連續分量D n 而自電磁場E及相應電磁通量密度D的場分量之組合形成向量場F1404。使用第一連續分量提取運算子PT來提取電磁場之連續分量E T 。使用第二連續分量提取運算子Pn來提取按比例調整之電磁通量密度的連續分量D n 。按比例調整之電磁通量密度D作為電磁場E及對比電流密度J之不連續分量的按比例調整和而形成。
如本文中所描述,在關於材料邊界而界定之結構之區中產生法向向量場n 1402。在此實施例中,該區延伸至各別邊界或越過各別邊界。產生局部化法向向量場之步驟可包 含將該區分解成複數個子區,每一子區為一基本形狀,該基本形狀經選擇以具有各別法向向量場與可能之相應封閉型積分。此等子區法向向量場通常為預先界定的。此等子區法向向量場可或者在運作中進行界定,但需要額外處理且因此需要額外時間。作為子區中之位置(作為輸入)的函數,可預先界定子區法向向量場以藉由程式化給出法向向量場之(笛卡兒)分量的函數作為輸出而允許數值積分。此函數可接著稱作求積分子常式以執行數值積分。此求積分規則可按一方式進行配置使得所有傅立葉分量以相同樣本點(子區中之位置)來計算,從而進一步減少計算時間。執行該區上之法向向量場之局部化積分1406以判定場-材料相互作用運算子的係數,該運算子在此實施例中為迴旋及基礎改變運算子C(方程式(4)中之C ε )。在此實施例中,亦使用此局部化法向向量場來建構在方程式(4)及(5)中界定之材料迴旋運算子M(jω[εC ε -ε b C ε ]。執行局部化積分之步驟可包含將各別預定法向向量場用於在該等子區中之每一者上進行積分。
藉由使用場-材料相互作用運算子M對向量場F且因此對電磁場之所提取之連續分量E T 及按比例調整之電磁通量密度的連續分量D n 進行運算來數值上判定對比電流密度的分量1408。可因此使用對比電流密度之所判定分量(在此實施例中,藉由對對比電流密度J c 之體積積分方程式求解)以便判定對比電流密度的近似解來計算結構之電磁散射特性(諸如,反射係數)1410。
該區可對應於該對比源之支集。
產生該局部化法向向量場之步驟可包含按比例調整該等連續分量中的至少一者。
按比例調整之步驟可包含使用在該材料邊界處連續之按比例調整函數(α)。
按比例調整函數可為恆定的。按比例調整函數可等於背景電容率之倒數。
按比例調整之步驟可進一步包含使用在該材料邊界處連續之按比例調整運算子(S)以考量各向異性材料特性。
按比例調整函數可為非零的。按比例調整函數可為恆定的。按比例調整函數可等於背景電容率之倒數。
按比例調整可經組態以使該電磁場之連續分量與該電磁通量密度之連續分量在該區外部為不能區分的。
產生該局部化法向向量場之步驟可包含直接對該向量場使用變換運算子(T n )以將該向量場自取決於該法向向量場之基礎變換至獨立於該法向向量場的基礎。
獨立於該法向向量場之基礎可為該電磁場及該電磁通量密度的基礎。
另外,對於二維週期性前向散射問題,該電場之光譜域體積積分方程式可用以計算反射係數。
將需要具有基於對比電流密度之可用於體積積分方程式的光譜公式化,該光譜公式化準確且有效。在「連續向量場VIM做法」中,藉由使用以FFT形式重寫之傅立葉因式分解規則且藉由針對採取該電場與該電通量密度之間的混 合之形式的基本未知數引入新基礎來獲得準確度及速度改良,該基本未知數在材料邊界處為連續的。然而,此連續向量場VIM做法不可直接應用於CSI公式化中,此係由於藉由CSI來規定基本未知數 J 的選擇。此外,對比電流密度 J 之所有分量在材料邊界處一直為不連續性的。因此,此做法之速度及準確度不可用於CSI類型之公式化。
連續向量場VIM做法之關鍵點為依據輔助場 F 來表達電場 E 及對比電流密度 J 。在本發明之實施例中,吾人能夠首先依據 J 來表達輔助場 F ,且接著依據 F 使用 J 之先前建立的關係。前一步驟係基於經散射之電場、電場及電通量密度(一方面)與對比電流密度(另一方面)之間的關係及投影運算子P n P T 的積分表示。對於各向同性介質之最簡單情況,吾人獲得 J =M F =M(P T E P n D )=M[P T ( E inc+G J )+αP n b E incbG J + J /(jω))]其中G表示格林函數運算子。
在重新配置數個項之後,吾人得到M[P T E incP n εb E inc]= J -M[P T (G J )+αP n bG J + J /(jω))],其為對比電流密度之體積積分方程式。此積分方程式由於投影運算子P n P T 之存在而不同於經典體積積分方程式。類似於M,此等運算子具有呈FFT形式之有效矩陣-向量積。藉由將投影運算子及M組合成單一運算子(亦即,MP T MP n ),減少了全矩陣-向量積中之FFT的量。由於FFT為該演算法之主要計算負載,所以此縮寫步驟直接轉換為計 算時間的減少。
對比電流密度之相應矩陣-向量積展示於圖13b中。
可如圖13c中所展示來實施運算子MP T MP n
局部化法向向量場
如上文所提及,在若干計算框架中,尤其是在微分法(DM)及嚴密耦合波分析(RCWA)中,已採用如[1]中引入的法向向量場之概念。此概念之基本理念為,法向向量場可充當電場E及電通量密度D之分量的濾波器。經由此濾波器,吾人可提取ED兩者連續分量(該等連續分量係互補的),且建構在可能除對應於所研究之散射物件之幾何邊緣及角落的隔離點及線外之任何處連續的向量場F。在一般3D處理之後,章節3提供2D法向向量場之詳細分析(在晶圓之(x,y)平面中)。類似於在RCWA中採用之切片策略,2D法向向量場之詳細分析與沿晶圓法線(z軸)的3D幾何形狀之切片策略相容。
本發明之實施例提供局部化法向向量場。此以基本構建區塊實現一種允許迅速且靈活地產生較複雜形狀之法向向量場之切割及連接技術。本發明之實施例藉由以下操作在上文提及之參數改變的情況下解決關於建立時間及連續性的以上問題:使用參數化構建區塊與作為參數(諸如,在反覆重建期間的光柵結構之變化之尺寸)之函數連續變化之法向向量場。
1.法向向量場公式化
在論文[1]中找到論述之合適起始點。彼處提出之主要 理念中的一者為在整個計算域上引入法向向量場n(x,y,z)。此法向向量場滿足以下兩個條件:
‧其正交地指向每一材料界面。
‧其在空間中之每一點處具有單位長度。
除此等條件外,不存在界定此向量場之其他約束,但是包括其他特性(諸如,某一形式之連續性)為方便的。一旦已建構法向向量場,便可產生兩個切線向量場t1(x,y,z)及t2(x,p,z),使得{n,t1,t2}在計算域中之每一點處形成正交基礎。舉例而言,使n x ny為法向向量場之xy分量,則可將t1建構為t1=-n y u x +n x u y , (1)其中u x 及u y 分別表示沿xy方向之單位向量。最終,經由n與t1之間的叉積產生向量場t2
向量場n可用以濾除導致連續純量場D n =(n,D)的電通量密度之不連續分量,其中(.,.)表示純量積。切線向量場可用以按照下式提取電場之連續分量E T =(E,t1)t1+(E,t2)t2。 (2)
遵循[1],吾人現按照下式建構向量場F 可能除對應於電容率函數之幾何形狀中的邊緣及角落之隔離點或線外,向量場F在任何處為連續的。
此向量場F之關鍵優點為,其連續性經由習知迴旋規則而實現光譜基底中的場-材料相互作用。因此,建立ED(一方面)與(另一方面)之間的關係(亦即,在[1]之記法中)至關重要,理念為建立如下關係E=C ε F, (4) D=εC ε F。 (5)
2.朝向局部法向向量場
2.1.投影運算子構架
為了將濾除電場及電通量密度之分量的程序形式化,吾人按照下式引入運算子P n P n v=(n,v)n, (6)其中v為任意3D向量場。自法向向量場n之特性,吾人觀測到,P n 為投影運算子,且因此其為冪等的,亦即,P n P n =P n 。類似地,吾人可按照下式引入運算子P T P T v=(v,t1)t1+(v,t2)t2, (7)P T 亦為投影運算子。藉由此等投影運算子,將向量場F建構為F=P T E+P n D。 (8)
除冪等特性外,投影運算子P T P n 具有一些其他有用特性。首先,吾人得到P T =I-P n ,其中 I 為恆等運算子。此特性展示,法向向量場自身足以產生運算子P n 及運算子P T 兩者,此已自切線向量場之建構觀測到。第二,運算子P T P n 相互正交,亦即P T P n =P n P T =0。
2.2.引入按比例調整函數
吾人引入法向向量場形式論[1]之概念的第一改良為按比例調整向量場F之分量的可能性。此按比例調整可採用許多形式,但為了簡單起見,吾人將論述向量場F之法向分量的按比例調整,亦即F=E T +αD n n, (9)其中α為越過材料界面為連續的非零按比例調整函數。此按比例調整之結果為兩方面的。首先,按比例調整可使向量場F之分量的比例達到同一量級。此將改良線性系統C ε εC ε 之調節。第二且更重要的是,如下文將論證,按比例調整針對法向向量場n之局部性具有深遠結果。實際上,第二態樣極其重要,以致其通常將導引按比例調整之選擇,即使導致次佳調節亦如此。
2.3.場-材料相互作用
吾人現將展示此等運算子P n P T 可如何用以自向量場F建構方程式(4)中的運算子。為此,吾人一方面自電場與電通量密度之間的空間域關係且另一方面自向量場F之界定開始。吾人得到D=M ε E, (10) F=P T E+αP n D, (12)其中M ε 為與大體各向異性之電容率張量ε相乘之空間乘法運算子,且為與電容率張量之(逐點)倒數相乘的乘法運算子。
首先,吾人建立EF之間的關係。此係由於吾人得到E=P n E+P T E, (13) P T F=P T E, (14)
在重新配置方程式(15)且使用P n 之冪等之後,吾人獲得 其中(P n M ε P n )-1P n 之範圍內為(P n M ε P n )的倒數,亦即,(P n M ε P n )-1(P n M ε P n )=P n
因此,藉由下式給出方程式(4)中之線性運算子C ε
另外,吾人使用關係P T =I-P n 及(P n M ε P n )-1(P n M ε P n )=P n 以達到 其中吾人已引入記法M ξ P n =P n M ξ =(P n M ε P n )-1,其中M ξ 為純量乘法運算子。
以類似方式,吾人可導出電通量密度與向量場F之間的關係: P T D=P T M ε E=P T M ε P T E+P T M ε P n E=P T M ε P T F+P T M ε P n E。 (20)
在第二方程式中,吾人現可使用方程式(16)來消除E,亦即 因此,
藉由再次使用關係P T =I-P n 及方程式(18)中之C ε 的表達,吾人得到
由於以下特性P n M ε M ξ P n =P n M ε P n M ξ =(P n M ε P n )P n M ξ =P n , (24)吾人最終得到
在(25)運算子表示中,有必要將運算子積M ε C ε 視為單一乘法運算子。否則,不能維持Li規則之基本原理,此係由於M ε C ε 在空間域中具有同時發生之跳躍。
自方程式(18)及(25)中C ε εC ε 之表示,吾人觀測到,投影運算子P n (包括M ξ P n 的出現)僅結合運算子而出現。因此,原則上,運算子之支集判定需要法向向量場n以產生運算子C ε εC ε 之係數的域。
2.3.5.邊界相符之各向異性
在電磁散射之其他模型化做法中,依據整體座標系統表 達介質參數之各向異性的方向,而不考慮散射物件之幾何形狀。
使用各向異性介質之一個重要應用涉及線邊緣粗糙度(LER)或線寬度粗糙度。儘管LER可模型化為沿線之3維變化,但此嚴密模型化做法通常為極耗時間的。因此,通常經由有效介質近似來模型化LER,其中一過渡層捕獲含有粗糙度的該線之部分。將此過渡層最佳地模型化為各向異性介質。各向異性之方向大體上取決於該粗糙度之幾何形狀特徵,參見(例如)[12]。由於線與笛卡兒座標系統自動地對準,所以邊界相符之各向異性自動地等於沿座標軸的各向異性。
在其他做法中,獨立於散射幾何形狀來界定各向異性。關於邊緣粗糙度,已知曉針對線而非針對其他幾何形狀(例如,具有圓形或橢圓形橫截面之接觸孔)的各向異性有效介質模型。
本發明之實施例使用法向向量場來達到較好數值收斂。實施例可具有關於水平及垂直方向模型化雙折射率介質的能力。有可能處置較一般之各向異性介質,其中各向異性之方向係沿圖案之邊界且垂直於邊界,亦即,各向異性為邊界相符的。遵循LER有效介質做法[12]之推理線路,此等類型之各向異性提供對(例如)用以模型化LER之有效介質做法的自然擴展,此外,不需要額外處理,此係由於在如本文中所描述使用之法向向量場做法內已判定出每一邊界之法線。
吾人按方程式(12)界定向量場F:F=P T E+αP n D (25.1)且吾人具有通量密度與場強之間的關係如下D=M ε E。 (25.2)
另外,吾人按照下式界定針對電容率之邊界相符各向異性M ε =ε n P n +ε T P T , (25.3)其可解譯為沿幾何形狀之法向方向的電容率及沿同一幾何形狀之切線方向的不同電容率。
通量密度D及場強E(一方面)可相關於輔助場F(另一方面)。吾人獲得
如本文中所論述,此等公式維持局部界定法向向量場之自由度,且針對電容率僅具有乘法因數的小改變。
對於雙態光柵或垂直方向上階梯狀近似之狀況,可藉由將P T 運算子分成垂直部分及水平部分(亦即,P T =P z +P T1)來進一步擴展邊界相符各向異性,其中運算子P z P T1為相互正交的。電容率可接著具有藉由下式給出的邊界相符各向異性之三個方向M ε =ε n P n +ε T P T1+ε z P z 。 (25.6)
依據F表達E之公式保持相同於以上方程式(25.4)。D之表達式變為 假定此類型之各向異性僅局部化至沿邊界之層,則法向向量場保持為局部的,且運算子P T1P z 已可用。
因此,在局部化法向向量場得以產生且對於材料邊界為局部的區中使用垂直於材料邊界之電容率的分量ε n 及與材料邊界相切之電容率之至少一個其他不同分量ε t ε z 而使電磁通量密度與電場相關。
(例如)對於彎曲邊界上之邊緣粗糙度而言,此實施例拓寬了有效介質做法之範圍。此外,不需要額外處理來設定此模型,此係由於所有成份可用。因此,不需花費額外時間來設定相應數學及數值問題。
由於邊界相符各向異性為處置邊緣粗糙度之合適方式,所以邊界相符各向異性導致涉及邊緣粗糙度之CD重建程序的顯著加速。
2.4.向量場基礎之選擇
上文中,已觀測到,法向向量場有可能藉由在向量場F之數個分量之間選擇適當按比例調整來局部化。然而,對於典型馬克士威求解器而言,切線向量場及法向向量場並不表示求解器之分量。在許多狀況下,(例如)在VIM、RCWA或微分法內,笛卡兒基礎較合適。由於投影運算子並不改變向量場之基礎,所以可能需要電場及電通量密度之額外變換以達到馬克士威求解器的所需要基礎。類似備註適用於電容率運算子M ε ,其通常依據笛卡兒座標來表達。因此,若基礎不同,則運算子M ε 亦必須變換。吾人注 意,運算子M ξ 亦含有運算子M ε 。然而,由於M ξ 為純量乘法,所以其最終形式獨立於所選擇基礎。另外,如方程式(6)中給出之投影運算子P n 的界定獨立於所選擇基礎,但是其實際矩陣表示的確取決於法向向量場之所選擇基礎。因此,吾人選擇書寫P n 作為獨立於基礎之運算子。
吾人現引入變換運算子T n ,其將依據法向基礎及切線基礎表達之向量場變換為(例如)用於電場、電通量密度及電容率運算子M ε 的笛卡兒向量場。接著,吾人可書寫以笛卡兒座標表達之電場 其中吾人假定以法向基礎及切線基礎書寫F
中間結果為,歸因於C ε 中恆等運算子的存在,在整個計算域上需要法向向量場及切線向量場。然而,由於M ε 亦含有同一基礎變換,所以吾人亦可將以上公式重新配置為 其中吾人已引入運算子。此指示,變換運算子可直接作用於F上,其再次有可能導致局部化法向向量場,只要吾人將T n F視為未知數,亦即,吾人以ED之基礎直接書寫FεC ε 之類似導出展示 此係由於如上文所展示之關係
2.5.各向同性介質
將考慮之極重要種類為各向同性介質。對於此等介質,乘法運算子M ε 為相等地作用於場之每一分量上的純量乘數。因此,變換T n M ε 無影響。另外,C ε εC ε 之公式顯著簡化。對於各向同性狀況,吾人現考慮以笛卡兒座標以及ED表達F的結果。對於此情況,吾人得到 其中吾人已引入乘法運算子作為與(純量)函數1/(αε)之逐點乘法,其導致恆等運算子的純量乘法。因此,
現存在針對α之許多選擇:
‧按照等於1/ε b (亦即,(局部)背景電容率之倒數)之常數來選擇α。此導致如下結果:在電容率不同於背景電容率之區中(亦即,在對比函數非零之區中)僅需要法向向量場。在光柵結構中僅存在兩種介質(介質中之一者為背景材料)之情況下,此選擇尤其引人注意。
‧第二選擇係按照常數來選擇α。然而,取決於光柵結構,選擇不同常數可較有利。重要狀況為背景之電容率出現於並未與單位單元之邊界交叉之域中的光柵。此係 (例如)抗蝕劑中圓形接觸孔的狀況,其中選擇接觸孔之填充材料作為背景介質。與省去了圓的單位單元之法向向量場相反(對於此法向向量場而言,計算所得積分困難得多),此選擇接著導致圓上之法向向量場的較簡單公式。
‧第三選擇為令α為連續函數,使得α為(例如)經由三線性內插或藉由高斯窗進行之平均而平滑化的原始逆電容率函數的型式。在該狀況下,僅在緊密接近材料之間的界面之處需要法向向量場,且法向向量場變為更顯得局部化。然而,必須計算之所得積分通常較困難。藉由選擇在何處開始過渡以自一電容率逐漸改變至另一電容率,有可能以僅在兩種介質之間的界面之一側上需要的局部化之法向向量場來結束。
一般而言,若存在其他接近的結構,則超出邊界較為複雜,此係由於該情形將使得如稍後將論述之切割及連接策略更複雜。
2.6.各向同性介質之場-材料相互作用係數的表達
吾人現較仔細查看場-材料相互作用運算子。吾人假定依據笛卡兒分量來書寫電場、電通量密度、向量場F及法向向量場n。接著,吾人得到自方程式(29)獲得的以下空間關係, 其中 其中 此係由於關於xy方向以光譜基礎表達電場E、電通量密度D及輔助向量場F的所有分量,例如 其中取決於週期性之方向,且取決於入射場之入射角。在此光譜基底中,以上場-材料相互作用變為xy平面中之迴旋。舉例而言,對於i,j {x,y,z},吾人得到 其中 其中S表示xy平面中之單位單元,且∥S∥表示單位單元之面積。此意謂,對於i,j {x,y,z},吾人必須計算係數C ij εC ij xy平面中的傅立葉積分。對於法向向量場及散射幾何形狀之某些組合而言,(例如)對於如章節3中所展示之圓及矩形而言,可按封閉形式來執行此計算。對於較一般之形 狀,可藉由網格化策略(章節4)或藉由數值求積分(章節5)來近似此等係數。
2.7.雙態及階梯狀光柵中之雙折射率
第二重要種類之問題為光柵材料具有雙折射材料特性之狀況,其中各向異性之軸線為z軸,亦即 其中ε T ε N 原則上為xyz之函數。
吾人現考慮雙態光柵之狀況,該雙態光柵在區間z [z 1,z h ]上沿z軸具有不變之橫截面以及獨立於z的電容率輪廓。對於此雙態光柵而言,吾人選擇待沿z軸對準的切線向量場中之一者,亦即,t2=uz。此意謂,n及t1為二維向量場,亦即,其z分量為零。藉由此選擇,xy平面中之法向向量場之問題再次為各向同性問題,且按比例調整參數α之考慮因素現極類似於章節2.5中之彼等考慮因素,例如,對於兩種介質之問題而言,應關於物件之內部或外部的ε T 來按比例調整電通量密度,使得按比例調整之電通量密度變為與單位單元之專用部分中的電場相同。
對於較一般之光柵幾何形狀,關於z方向,可應用光柵之階梯狀近似。接著,光柵由一序列之雙態光柵組成,且對於此等雙態光柵中之每一者而言,可遵循上文概述之策略。
3.具有橢圓形及矩形橫截面之雙態光柵的局部化法向向量場
在此章節中,吾人應導出兩個基本2維形狀(矩形及橢圓形)之C ε εC ε 的光譜表示。常遇到此等形狀作為2D晶圓度量衡結構中之積分或基本構建區塊。此導出將展示法向向量場(NV)之建構,及C ε εC ε 之矩陣元素之傅立葉積分的隨後計算。對於給定輪廓,並不存在唯一法向向量場。可建構具有單位長度且垂直於該輪廓之無限多之NV場。吾人應明白,特定選擇之重要動機為導出C ε εC ε 之傅立葉係數之分析表達式的可能性。且,NV場中奇異點之數目應最小化以使傅立葉級數之收斂最佳化。橢圓及矩形因此有幸具有傅立葉積分之分析表達式。
3.1.任意單位單元之傅立葉積分
在兩個維度中,藉由布拉維斯(Bravais)晶格向量(a1,a2)來描述晶格之週期性。下式上之移位R=n 1a1+n 2a2, (56)導致等效晶格位置。具有與布拉維斯晶格相同之週期性的平面波將具有符合以下條件之波向量K
達到此條件之所有波向量的空間稱作逆晶格。此空間被兩個原始向量跨過 該兩個原始向量符合正交性條件b i .a j =2πδ ij , (60)自上式,可證明方程式(2)。現關於具有逆晶格中之波向量的平面波之基礎來界定任意2維晶格中的傅立葉積分。
其中自以下積分獲得傅立葉係數 其中0η i =a i /a i |1為跨越每一布拉維斯晶格向量之無因次數。至笛卡兒座標系統之變換給出 現可將方程式(54)中之2維積分書寫為 其中 為投影於笛卡兒軸線上之逆晶格向量。
參看C ij 之表達式(方程式(33)至(41)),吾人明白,對角線 元素含有單位單元上之單位(unity)之傅立葉積分,以及按比例調整因數α(x,yz)及電容率ε(x,y,z)之法向向量分量及位置相依函數之積的傅立葉積分。第一積分(亦即,單位單元上之單體之積分)等於。對於在按比例調整因數選擇為等於1/ε b 時之各向同性介質之狀況,第二積分可實質上加以簡化。此函數則在對比源之支集外部為零,且在內部為恆定的。現可依據積分來表達傅立葉係數c ij (m 1,m 2,z)
此表達將C ij 之光譜表示(方程式(33)至(41))簡化為 且將εC ε 之光譜表示(方程式(43)至(51))簡化為
在以下章節中,將針對橢圓及矩形之狀況導出Γ ij 積分(方程式(66))的封閉表達式,但首先將針對散射物件之平移及旋轉進一步簡化積分。
3.2.散射物件之平移及旋轉
對於如橢圓及矩形之基本形狀的狀況,可藉由變換至局部座標系統來進一步簡化Γ ij 積分的計算。
圖15a為具有偏移c 0之旋轉橢圓的整體座標系統(x,y)及局部座標系統(x",y")的定義。
對於任意偏移c0,此偏移對以下兩者進行總計:平移 及旋轉 散射物件之NV場僅受旋轉影響。整體系統(x,y)及局部系統(x",y")中的方程式(66)中之NV分量的積藉由線性變換而相關
組合方程式(71)、(72)及(73),吾人可將Γ ij 積分變換為如在局部座標系統中計算的Γ" ij
其中M nn"為來自方程式(73)之耦合矩陣,且 此處,吾人已引入有效波向量 Γ" ij 積分仍在等於對比源之支集的區域上進行計算(平移及旋轉並不改變該情形),但在局部座標系統中,如吾人應明白,對於橢圓及矩形而言,較易於評估積分。
簡而言之,變換至局部座標系統之效應為三方面的:
‧描述偏移之效應的恆定相位因數
‧描述關於布拉維斯晶格之物件之定向的有效波向量
‧Γ ij 在局部座標系統中變為所有三個Γ" ij 的線性組合
3.3.橢圓
存在產生橢圓之NV場的兩種熟知方法,
‧經由橢圓座標系統
‧其中a為沿水平對稱軸線之半徑,b為沿垂直對稱軸線之半徑,φ為方位角,且為橢圓率。
‧經由保角映射
‧對於常數u(0 u ∞)且0 v 2π,此式給出橢圓輪廓。
圖15b說明橢圓座標系統之NV場,且圖15c說明保角映射。
橢圓座標系統具有以下優點:可導出Γ" ij 之分析表達式,且法向向量場僅在(0,0)處具有奇異點,同時保角映射在連接焦點之線上具有奇異行為。吾人稍後應明白,對於矩形狀況,儘管存在數個奇異點,仍可獲得良好收斂。因此,奇異點之存在單獨並非排斥法向向量場的令人信服之因數。導出傅立葉積分之分析表達式的可能性為較強健之因數,此係由於其將導致矩陣-向量積之快速計算。可按照垂直於切線向量的向量導出法向向量場
對於此表達式,吾人書寫方程式(66)需要之法向向量積
重新書寫至橢圓座標系統的Γ" ij 積分給出 幸運的是,可藉由使用恆等式[2,第973頁]而將r及φ相依性與傅立葉指數進一步分離。
其中J n (z)為具有整數階數n之第一類別之貝塞爾函數(Bessel function)。藉由重新書寫方程式(81)中之指數的引數 方程式(82)中之恆等式可應用於方程式(81)中之積分中的指數,從而導致Γ" xx 的以下表達式
其中
Γ" xy 及Γ" yy 之表達式可藉由分別用esinφcosφ及sin2φ來替換角積分中之分子而獲得。橢圓之此做法之效率自以下事實得出:可找到徑向積分及角積分的分析表達式。在附錄A中導出了貝塞爾函數之徑向積分的此等表達式。對於角積分而言,在附錄B中導出了此等表達式,在附錄B中,對於在各別偶數項及奇數項上之總和中針對索引k自φ a 至φ b 進行之角積分,此等表達式標註為(k a b )及(k a b )。對於完全橢圓,藉由(k,0,2π)=0之事實來簡化方程式(84)的評估。偶數項之角積分為
應注意,對於圓(e=1)之狀況,僅(k=1)項為非零的。
現僅需要評估偶數階數n之徑向積分。在附錄A中,針對不定積分導出封閉型表達式 其中僅針對n>1來計算總和。將方程式(86)及(88)代入方程式(84)給出 其中N b 為保留於偶數個貝塞爾函數上之總和中的項之數目,且
應注意,在最後項中,已交換了km上之總和以防止具有同一因數之貝塞爾函數的多重評估。對於Γ" xy 及Γ" yy 之計算,僅需要由之表達式取代(參見附錄B)。
3.4.矩形
與橢圓相比較,矩形之連續NV場之產生較不明顯。為此,已提議[3]以使用施瓦茲-克里斯多福變換[4]。精密地近似矩形之此解的NV場為
圖16a說明矩形之相應連續NV場。然而,此表達式並不有助於導出Γ ij 積分之分析表達式。針對此狀況,吾人選擇導出如下NV場:精密地類似於方程式(91),但沿矩形之對角線為不連續的,在由對角線形成之三角形內為恆定的,且垂直於矩形之邊緣。
圖16b說明矩形之相應不連續NV場。此法向向量場對於每一三角形為恆定的,該三角形使得Γ" ij 積分的計算尤其簡單。
表面積分之評估為直接的。對於三角形△1(參見圖16b),該評估給出
對於三角形△2,吾人僅需要交換r a r b k ex k ey 。三角形△3及△4之結果簡單地為各別△1及△2之積分的複共軛。
對於此NV場,對於三角形△2及△4,Γ xx =0;對於三角形△1及△3,Γ yy =0;且對於所有三角形,Γ xy yx =0。應注意,對於限值k ey →0,方程式變為
4.切割及連接策略
關於矩形之NV場產生之先前章節展示用於產生較任意之形狀之NV場的功能極強大之方法。可將此等形狀分解為基本形狀,對於該等基本形狀而言,Γ ij 積分具有適合於快速計算的封閉形式。藉由在特定傅立葉模式索引處將每一基本形狀相關Γ ij 積分進行合計,可容易獲得C ε εC ε 之光譜表示。當然,基本形狀之種類必須足夠大以產生所有相關較複雜形狀。基本形狀之種類包含:(A)具有恆定NV場之三角形:若一界面為材料界面,則NV場必須垂直於此界面。若不存在材料界面,則NV場可任意地加以選擇;(B)具有恆定NV場之梯形(矩形為特殊狀況):若一界面為材料界面,則NV場必須垂直於此界面。若不存在材料界面,則NV場可任意地加以選擇;或(C)具有徑向NV場及沿圓形邊緣之材料界面的圓弓形(circle segment)。
任一任意形狀或其近似可原則上分解為此等基本形狀之 網格。
圖17說明以此等基本形狀使「狗骨」網格化。
圖18說明根據本發明之實施例自較小矩形1802及圓弓形1804構建具有圓角矩形橫截面之稜柱的法向向量場。應注意,由箭頭展示之法向向量場在實體界面處垂直於彼等實體界面。
在本發明之實施例中,提供一種局部地(亦即,在散射物件或其部分上)而非在整個單位單元上建構法向向量場的方法。此方法簡化了法向向量場之產生,且甚至給出了如同圓、橢圓及矩形之基本形狀的數學上極簡單之表達。
對於較複雜形狀,提供切割及黏貼網格化技術,其中任意形狀之法向向量場由選自以下各者之兩個或兩個以上基本形狀的法向向量場構成:具有以下橫截面之稜柱:三角形、矩形、梯形或圓弓形;四面體;桿;具有兩個平行面之六面體;具有平行於地平面之截斷面的經頂點截斷之稜錐;及截球。
對於此等構建區塊而言,可極簡單且迅速地產生法向向量場。
或者,對於其他複雜形狀而言,在不應用以上網格化策略從而避免高網格密度的情況下直接自該形狀產生法向向量場可為有利的。此導致將內插方法用於產生法向向量場,該等法向向量場接著用於計算某些傅立葉積分。本發明之實施例在計算域中將傅立葉積分分裂為各種介質之支集上的若干個積分。對於此等域中之每一者而言,結合內 插演算法應用專用求積分規則以在此域上產生法向向量場。歸因於單位單元之邊界之特定選擇,基於具有週期性延拓之基礎函數來應用內插以避免構成要素之人為不連續性。另外,有可能進一步將法向向量場之支集限制為材料界面之直接鄰域。對於較複雜形狀而言,此導致更簡化之做法以產生法向向量場。
4.1.基本構建區塊
在此章節中,將導出針對以形狀頂點之座標表達之三個基本構建區塊的Γ ij 積分之封閉表達。
4.2三角形
圖19說明具有NV場及局部座標系統之經旋轉且移位的三角形。
圖19展示具有頂點ABC之任意三角形。選擇與材料界面(B-C)相對之頂點作為局部原點。應注意,以逆時針方向對頂點排序。首先,吾人在向量A上進行平移以獲得向量B'=(B-A)及C'=(C-A)。接著,吾人在角度φ0上進行旋轉以到達局部座標系統。在此系統中,藉由方程式(72)給出B"及C" 其中
藉由B"及C"之座標的表達式,吾人獲得 在B"C"為材料界面之狀況下,NV場為(n x ",n y ")=(1,0),從而僅留下Γ" xx 作為非零分量。經由方程式(74)獲得整體座標系統中的Γ ij
4.3.梯形
圖20說明具有NV場及局部座標系統之經旋轉且移位的梯形。
對於梯形,可遵循相同之推理線路。首先,在A上平移座標,從而給出 且隨後在φ0上旋轉以給出 其中
在局部座標系統中,
此結果亦可藉由將梯形分解成兩個三角形且將兩個三角形之方程式(97)相加來獲得。且,對於梯形而言,在B"C"為材料界面之狀況下,NV場為(n x "n y ")=(1,0),從而僅留下Γ" xx 作為非零分量。經由方程式(74)獲得整體座標系統中的Γ ij
4.4圓弓形
圓弓形界定為具有原點A、下部半徑端點B及扇形角φ s 的圓之區段。
圖21說明具有NV場及局部座標系統之經旋轉且移位的圓弓形。
可沿與章節3.3中之完全橢圓之線路相同的線路來計算NV分量Γ" ij 。主要差異為,現自0至任意角φ s 來評估角積分。此具有以下效應:在貝塞爾總和中之奇數項上的角積分(k,0,φ s )≠0。且,對於奇數項而言,貝塞爾函數上之徑向積分具有分析表達式(參見附錄A) 其中總和僅應用於狀況n 1。將方程式(102)代入方程式(84)中給出 其中N b 為保留於偶數個貝塞爾函數上之總和中的項之數目,且
已使用([2,第633頁])重新書寫了之J 0原始值。
對於Γ" xy 及Γ" yy ,藉由取代角積分之適當表達式,可易於找到NV分量(參見附錄B)。
5.較一般之形狀之法向向量場的產生
對於某些情況而言,例如,對於具有異乎尋常之散射幾何形狀之狀況或多種材料具有在彼此之間的連接界面之狀況,可能難以應用導致低數目個網格化元素且在電場及電通量密度之光譜基底中顯現迅速收斂的網格化策略。對於此等狀況,可能需要較一般之做法來產生場-材料相互作 用運算子的傅立葉係數。一種此做法使用數值求積分來評估輪廓之積分(54)。求積分規則接著調用在許多點處之構成要素之函數值,以達到所要積分之近似。若吾人查看考慮中之構成要素,則吾人注意到,吾人需要評估任意(x,y)點處之法向向量場之電容率、指數函數及笛卡兒分量。此對於電容率、指數函數為不重要的,但對於笛卡兒分量為重要的。由於較早地引入了按比例調整,所以在區中僅需要法向向量場,其中αε≠1。另外,吾人注意到,除針對所有傅立葉索引評估指數函數外,可經由相同求積分規則及函數評估來獲得所有傅立葉索引(m 1,m 2)的傅立葉係數。因此,主要關注事項為評估任意位置處之法向向量場(假定αε≠1)。
已在[3、5]中描述了產生法向向量場之若干配方。第一篇論文論述在基於施瓦茲-克里斯多福保角映射之RCWA之內容背景內或經由解決靜電問題而產生二維法向向量場。第二篇論文論述經由反比距離內插演算法而產生法向向量場,該反比距離內插演算法為具有徑向基礎函數之所謂經散射資料內插演算法的特定實例。然而,兩篇論文教示在規則柵格上產生法向向量場而未考慮可能僅局部需要法向向量場之可能性。另外,規則柵格可針對並未擬合至此規則柵格之電容率輪廓(亦即,針對具有並非與規則網格相符之界面的分段恆定材料特性)的產生緩慢收斂。因此,可能需要極大量之柵格點來達到收斂之解。依據CPU時間,此兩個觀測使得此等程序代價極其巨大。
根據本發明之實施例,吾人使用局部化法向向量場且應用將散射物件之積分域考慮在內的求積分規則,亦即,運用將材料界面之形狀考慮在內的積分域。因而,在單位單元上之積分藉由在具有恆定電容率之域上的一序列之積分替換。已在(例如)[6、7、8]中研究了在較複雜域上進行積分之求積分規則。由於每積分域之電容率為恆定的,且指數函數為連續的,所以唯一可能障礙再次為法向向量場。因此,為了維持求積分規則之收斂,吾人需要在求積分規則之積分域上產生足夠平滑之法向向量場。此可藉由經散射資料內插演算法來達成,對於該演算法而言,自積分域之邊界的描述及此邊界處之相應法向向量場來產生輸入資料。舉例而言,若藉由分段線性近似來描述邊界,則法線在局部上為恆定向量。藉由提供沿邊界之法向向量場的足夠密集之取樣,產生足夠資料以應用經散射資料內插演算法。
5.1.法向向量場之週期性延拓
標準經散射資料內插演算法使用所謂的徑向基礎函數,亦即,僅取決於資料點與內插點之間的距離之基礎函數。在一般內插問題中,此內插演算法通常為良好理念,此係由於該內插演算法允許近旁資料相比遠處資料對經內插資料具有較高影響。然而,在週期性環境中,資料點與內插點之間的距離亦變為週期性的。若吾人不將此考慮在內,則越過週期性邊界之內插可引入人為不連續性,此可使某些求積分規則之收斂或甚至投影於法向向量場上之電磁場 之解的收斂惡化。因此,吾人尋找對具有徑向基礎函數之經散射資料內插的替代。關鍵理念為產生顯現組態之週期性的週期性距離函數且使此函數替換距離函數。
5.2.週期性距離函數
在規則歐式(Euclidean)空間R3中,藉由下式給出兩個點r與r'之間的距離r 該距離為非負的,且在兩個點重合時僅為零。
吾人現首先考慮沿x軸之1D週期性狀況,其中週期p>0。對於此狀況,吾人首先按照下式引入模數(p)函數 其中表示向上取整運算子。因此,吾人得到
藉由以上定義,對於週期性狀況,xx'之間的歐式距離d(x,x')=|x-x'|變為d p (x,x')=|(x-x')mod p|。然而,亦存在界定距離量測之其他方式,例如, 亦滿足距離量測d(x,x')之基本準則,亦即1. d(x,x')0(非負), 2. d(x,x')=0,在且僅在x=x'(分辯不出之恆等式)之情況下3. d(x,x')=d(x',x)(對稱),4. d(x,x") d(x,x')+d(x',x")(三角形不等式)。
對於具有兩個週期性方向之空間,情況較複雜。吾人藉由a1及a2來表示週期性晶格向量,接著吾人可將空間中之任一點r=(x,y,z)表示為r=xu x +yu y +zu z 1a12a2+zu z , (110)其中η1及η2為如下式與xy相關的橫向平面中之座標
另外,吾人注意,散射組態在η1及η2上為週期性的,η1及η2兩者具有週期1。R3中之歐式距離函數可用a1及a2表達為
為了達到週期性距離函數,吾人現藉由具有週期1之週期性函數f(.)及f(0)=0來替換η11及η22,使得|f(.)|引起一維週期性距離函數,亦即 其中f(x)(例如)等於xmod1或sin(πx)。
5.3.週期性經散射資料內插
在經散射資料內插演算法中,引入基礎函數φ(r)(r 0),例如,φ(r)=exp(-βr 2),其中β>0。另外,提供資料點r n 之集合及相應函數值F(r n ),n {1,...,N}。接著,該等演算法判定係數c n ,使得 對於所有n=1,...,N,其中d(rm,rn)表示資料點rm與rn之間的距離。若此線性方程式集合為非奇異的,則可判定係數c n ,且經散射資料內插演算法導致F之以下內插
對於週期性狀況,吾人藉由方程式(113)中之週期性距離函數取代以上兩個公式中的距離函數d(.,.),且吾人以類似於[5]之方式達到資料之週期性內插,此可用以自材料邊界處之法向向量場之笛卡兒分量產生法向向量場的笛卡兒分量,亦即,吾人首先發現經內插分量為 其中j {x,y,z}。接著吾人在位置r處將法向向量場正規化為1,亦即,
6.任意各向異性介質中之局部化法向向量場
在先前章節中,藉由將按比例調整函數及基礎變換引入於藉由Popov及Nevière界定之向量場中來達成連續向量場 F之建構中的局部化法向向量場之概念。(例如)歸因於光柵之幾何形狀之階梯狀近似,藉由在各處正交於法向向量場之固定特別軸線針對各向同性介質且針對雙折射率介質來論證法向向量場之局部化。現將局部化法向向量場之概念轉入至任意各向異性介質的最一般狀況。然而,藉由純量函數進行按比例調整並不足夠靈活得處置最一般狀況。為了處理一般各向異性介質之狀況,吾人藉由修改向量場F之定義來開始。藉由下式給出F之此經更新定義F=P T E+αP n (D-SP T E), (117)其中S為額外按比例調整運算子,且α為非零按比例調整函數,前述兩者在材料不連續性之附近為連續的。由於P n D及P T E兩者為連續向量場,所以向量場F在針對αS之要求下再次為連續的。
藉由投影運算子之先前概述之代數,吾人獲得
(例如)藉由以下操作可使得方括號之間的運算子在局部上為零:選擇,亦即,等於並不涉及不連續性之某一區域中的介質參數(例如,填充介質之恆定電容率張量);及選擇αP n =(P n SP n )-1(在P n 之範圍上進行理解),αP n =(P n SP n )-1由於S之選擇而為非零連續函數。對於α,此對α=1/( n ,Sn )進行總計。因此,在保持之區中,倘若 向量場F之基礎獨立於法向向量場,例如,向量場F以笛卡兒座標來表達,則不需要法向向量場。S之另一選擇為使用電容率分佈之經平滑化型式。對於此選擇,需要法向向量場之區甚至更進一步收縮。
對於各向同性介質,向量場F之以上修改與先前定義一致,此係由於S將繼而為恆等運算子之倍數,且因此S將與P T P n 交換。因此,P n SP T 同樣為零,且α之選擇縮減至先前界定之狀況。
局部化法向向量場之主要優點(亦即,獨立地預先計算每一物件之運算子C ε εC ε 之係數的表達之可能性,及應用切割及連接策略之可能性)在一般各向異性狀況下仍為有效的。然而,運算子之係數通常較複雜,此係由於各向異性之方向與法向向量場上之方向混合。此可(例如)自運算子(P n M ε P n )-1觀測到,運算子(P n M ε P n )-1對於各向同性介質獨立於法向向量場,而運算子(P n M ε P n )-1在一般狀況下的確取決於各向異性介質之法向向量場及方向。因此,找到界定係數之傅立葉積分之封閉型表達式通常為較難的,且如章節5中所概述之求積分做法可為有用替代做法。值得注意之例外為以下狀況:物件之各向異性在物件之支集上為恆定的,且物件之形狀藉由具有法向向量場之固定方向的網格元素(例如,三角形或多邊形網格元素)來描述。對於此狀況,除取決於(恆定)法向向量場與電容率張量之各向異性之(恆定)方向之間的角度之恆定按比例縮放因數外,導出之封閉型表達式保持有效。
吾人已描述投影運算子框架以在各向同性介質及各向異性介質中於光譜基礎上分析場-材料相互作用內之局部化法向向量場的概念,此可用於(例如)RCWA、微分法及體積積分法中。藉由此框架,吾人已能夠論證,按比例縮放及基礎變換可導致局部化法向向量場,局部化法向向量場能夠使吾人運用專用形狀之法向向量場且將網格化策略應用於建構較一般之形狀的法向向量場。此大大改良了法向向量場做法之靈活性,且亦導致設定此等場之CPU時間的顯著節省。吾人已說明許多構建區塊之封閉型解的實例及較一般之形狀之向量場的產生(包括週期性距離內插演算法)。
本發明之實施例允許在2D及3D中較快速且較靈活地設定法向向量場,此導致較快速之CD重建時間及較快速之庫配方產生。對於簡單實例,吾人已在同一計算硬體上觀測到自數分鐘至數次秒(sub-second)之加速。
2法向向量場公式化之替代
1 Li規則及替代
應注意,以上公式化指代法向向量場公式化。然而,以上公式化亦可延伸至適用於矩形幾何形狀之Li規則。下文描述此Li公式化中之相應運算子。
2.維持迴旋結構之經修改Li規則及法向向量場公式化的替代
在先前章節中,吾人已修改所謂的k空間李普曼-史溫格(Lippmann-Schwinger)方程式(0.1)及(0.2)以建構場-材料相 互作用之有效矩陣-向量積,同時保持其在光譜基底中的準確度。此可藉由引入具有至E所表示之電場之一一對應的輔助向量場F來達成,使得當已計算出F時,接著藉由極少之額外計算來獲得E。本質上,吾人已導出如下形式之方程式集合 E inc =E-GJ (2.69)
F=P T E+P n (ε bE+J/) (2.70)
J=(εC ε -ε b C ε )F=MF (2.71) 其中E inc 表示入射場,G表示經層化背景介質之格林函數的矩陣表示,MP T P n C ε及(εCε)對應於呈FFT形式之有效矩陣-向量積。
在以上狀況下,FJE之間的關係實現緊湊且有效之形式論。然而,存在其他途徑來達成高準確度目標以及有效矩陣-向量積。本章節之目標為進一步探究並證明此等替代。現有形式論可藉由丟棄E與輔助向量場F之間的一一對應(亦即,經由可逆運算子C ε)來延伸。如吾人將(例如)在章節2.2中展示,此為(例如)當與電場E相比吾人在輔助向量場F中引入較多自由度時的狀況。在無其他量測之情況下,向量場F之所得線性方程式集合將繼而為欠定的,且因此F並不唯一,該情形在使用反覆求解器時通常為不良的,此係由於該情形通常將導致大量反覆或反覆程序之崩潰。為了克服此情況,吾人考量量FE及/或J之間的額 外線性約束集合。藉由此基本原理,吾人達到經修改之李普曼-史溫格方程式的以下廣義集合 其中以上矩陣方程式中之運算子中的每一者(例如)藉助於FFT而實現有效矩陣-向量積實施。
2.1 Lalanne之規則
在針對具有2D週期性之週期性結構的由Li導出之規則之前,Lalanne[13]提議了電容率矩陣M ε(在[13]中表示為E)與逆電容率矩陣之逆矩陣(M inv(ε))-1(在[13]中表示為P -1)的加權平均公式。對於此工作方式,吾人可運用電場E與輔助向量場F之組合。在吾人遭遇(M inv(ε))-1E之間的積之點處引入輔助向量場F,以達成快速矩陣-向量積,從而計算對比電流密度J或其按比例調整之對應物q
其中F滿足M inv(ε) F=E。 (2.74)
M εM inv(ε)兩者經由FFT而具有有效矩陣-向量積實施。
方程式(2.73)及(2.74)之結果可實施為呈方程式(2.72)形式的較大線性系統。此處,保持涉及運算子IG之第一方 程式集合不受影響。第二方程式集合將顯露出 J (一方面)與 E F (另一方面)之間的關係(2.73),亦即C 11=jωα(M ε b I),C 12=-I,且C 13=jω(1-α)I。第三方程式集合接著如方程式(2.74)中一般使 E F 相關,亦即,C 21=-IC 22=0,且C 23=M inv(ε)。最終,將缺少涉及C 31C 32C 33及右側之最後一列的最後方程式集合。此可藉由以下操作來實施於計算結構之電磁散射特性中:包括數值上對電流密度 J 及向量場 F 之體積積分方程式求解以便判定電流密度 J 的近似解,向量場 F 與該電磁場 E 相關且不同於電磁場 E 。此處,向量場 F 藉由可逆運算子M inv(ε)而與電場 E 相關。
2.2經串聯之Li規則
對於交叉光柵,Li[10、11]已展示,當相應相互作用矩陣由(區塊)特普立茲(Toeplitz)矩陣及逆(區塊)特普立茲矩陣之積的和構成時,在光譜基礎中更好地捕獲場-材料相互作用。(區塊)特普立茲矩陣通常稱為「勞倫規則」之表示,其對應於標準離散迴旋。逆(區塊)特普立茲矩陣通常稱為「逆規則」。只要場分量越過材料界面為不連續的,便應應用逆規則;且當場分量越過材料界面為連續時,應用勞倫規則。此等規則常稱為「Li規則」。(區塊)特普立茲矩陣實現呈FFT形式之有效矩陣-向量積,但逆特普立茲矩陣並不具有特普立茲形式,且因此不易於形成快速矩陣-向量積。因此,藉由延伸輔助向量場之理念,吾人可引入額外輔助向量場以及約束,以達到亦將(區塊)特普立茲矩陣之逆矩陣考慮在內的有效矩陣-向量積。
吾人考慮雙態光柵(亦即,電容率獨立於正交於發生週期性之平面的方向之光柵,吾人在此處藉由z方向指示該方向)之各向同性介質的狀況。接著,Li規則需要僅針對橫向平面(亦即,xy平面)中之電場 E 之場分量的修改,此係由於電場之z分量在雙態光柵之材料界面處為連續的,且因此吾人可直接應用由有效矩陣-向量積表示的勞倫規則。吾人自可能鄰近或可能不鄰近之許多矩形區塊構建電容率函數。特定言之,吾人按照下式書寫電容率函數及相應逆電容率函數 其中Πα β為在與標籤α相關聯之全區間上之支集的情況下在方向β上之脈衝函數。脈衝函數為一在其相關聯區間上為一且在別處為零的函數。在x方向上,存在I個非重疊區間;且在y方向上,存在J個非重疊區間。另外,在函數Π i x (x j y (y)之支集上,χ i,j 為連續純量函數,且
自電場及通量之x分量的標準場-材料相互作用關係E x -1 D x ,吾人獲得 其中根據Li之推理線路[10、11],Π i x D x 在傅立葉空間中為可因數分解的,但Π j y D x 並非為可因數分解的,此係由於D x 在材料界面處在y方向上具有不連續性。由於函數Πα β可解譯為投影運算子,所以吾人可使用以下內容。
I 為恆等運算子且 A i 為與相互正交之投影運算子 P i 交換之一序列之有界運算子,則運算子具有有界逆運算 子,其中 B i =- A i ( I + A i )-1
驗證(proof)繼之以制訂代數且將投影運算子之冪等考慮在內。
藉由此結果,吾人現可依據電場分量將電通量分量表達為 其中已使用了 A i B i P i 之交換特性。
類似地,針對y分量,吾人得到
在已執行直接對電場之分量進行運算之逆矩陣運算之後,乘法運算子中之每一者現為可傅立葉因式分解的。此意謂,在光譜域中,逆運算子變為逆(區塊)特普立茲矩陣以表示逆規則,且投影運算子Πα β之組合變為(區塊)特普立茲矩陣以表示勞倫規則。自此等關係,吾人可按常見方式 導出對比電流密度,亦即 J =jω[ D b E ]。
自以上關係,變得清楚的是,沿xy方向之每一區間引起逆運算子(亦即,I+J之倒數的總和)。若吾人將輔助變數(向量場)引入至涉及逆運算子之中間矩陣-向量積,則可避免此等倒數中的每一者。舉例而言,方程式(2.78)中之矩陣-向量積 E x 由輔助變數F x,j 替換,且在光譜域中再次具有矩陣-向量積之有效表示的線性方程式併入至線性系統(2.72)中。以該方式,吾人以較多變數為代價,保持呈FFT形式的矩陣-向量積之效率。此尤其為IJ大於1情況下之狀況,此係由於逆運算子中之每一者增加了輔助變數之量,藉此增加了總矩陣-向量積的大小。
2.3減少Li規則中逆運算子之數目
章節2.2之結論為,每一投影運算子Πα β引入一新輔助向量場,其使得此程序對於需要幾個投影運算子之幾何形狀為相當低效的。因此,如下問題出現:在不犧牲階梯狀策略之幾何靈活性之情況下,是否存在運用少於階梯狀策略最初引入之投影運算子的投影運算子的方式。
主要努力在於,將方程式(2.75)重新書寫為涉及較少投影運算子的和,亦即,重新書寫
吾人由著名「四色問題」得到靈感,該「四色問題」允 許僅用四種不同顏色對平坦地圖著色,使得地圖之兩個鄰近區域不會具有相同顏色。在本發明狀況下,情況為稍微類似的:若具有鄰近支集之投影運算子之乘法函數越過其互連邊界為連續的,則可僅合併此等投影運算子。一般而言,幾何形狀不會遇到此等約束。因此,吾人引入分組,使得吾人合併不具有鄰近支集之投影運算子。此接著允許吾人建構在經合併投影運算子之支集上與乘法函數匹配的連續乘法運算子。
吾人首先在一維度上證明此情形。x方向上之(週期性)區間給出為[0,a],且吾人將此區間分為偶數個不重疊區段S i (i=0,...,2I),使得區段之聯合跨越週期性區間[0,a],且沿此區間根據區段之位置對區間編索引,亦即,區段S i-1先於S i 。接著,吾人可將逆電容率函數書寫為 其中Π i (x)之支集對應於第i個區段。
吾人現按照下式引入(相互正交之)奇數投影運算子及偶數投影運算子
另外,吾人引入(純量)函數f o (x)及f e (x)。此等函數在區間[0,a]上為連續的,具有週期性連續性(亦即,f o (0)=f o (a) 且f e (0)=f e (a)),且滿足 其中k=1,...,I。由於偶數投影運算子及奇數投影運算子並不合併具有鄰近支集之投影運算子的事實,可(例如)經由在偶數投影運算子及奇數投影運算子之支集外部之區段上的線性內插而將函數f o f e 建構為連續函數。因此,可將逆電容率函數書寫為
現將此理念延伸至二維,亦即,延伸至光柵結構之橫向平面。吾人在每維度具有偶數個區段之笛卡兒積柵格上於xy方向上引入(相互正交之)偶數投影運算子及奇數投影運算子。另外,吾人在週期性域[0,a]×[0,b]上引入表示為f oo (x,y)、f oe (x,y)、f eo (x,y)及f ee (x,y)的四個週期性連續純量函數。此等函數可藉由投影運算子之支集外部的雙線性內插來建構,該等函數與該等投影運算子相乘。在圖22中論證程序。
圖22說明藉由每維度引入奇數投影運算子(白色支集)2206及偶數投影運算子(實心/陰影支集)2208來藉由橫向平面中之階梯狀近似2204對橢圓2202進行近似的程序。藉由使一方向上之投影運算子與另一方向上之投影運算子相乘,展現出具有數個隔離框之圖案。此允許建構在每一 隔離框之支集上具有適當行為之連續函數。
接著,可將逆電容率函數書寫為 上式展示存在所涉及之僅四個二維投影運算子(顏色)。
遵循在章節2.5.2中概述之方法,吾人達到以下Li規則 D y E y 之間的關係之類似表達。
為了結束該程序,吾人引入兩個輔助場F e F o ,其中x分量滿足
y分量之類似關係。藉由此等條件,吾人最終獲得 y分量之類似關係。應注意,與先前章節中之逆運算子相反,連結FE之運算子具有二維特徵。儘管如此,所有運算子現為經由2D(或重複之1D)FFT而具有有效矩陣-向量 積實施的乘法運算子。自此等關係,吾人可按常見方式再次導出對比電流密度之間的關係(亦即, J =jω[ D b E ]),且構成方程式(2.72)中之線性方程式。
2.4單磚之Li規則
吾人現考慮如下特定狀況:xy平面中之光柵之橫截面由嵌入於具有電容率ε b 之背景介質中的具有各向同性電容率之單一矩形區塊(亦稱作磚)組成。藉由之前所描述之定義,吾人可將針對此狀況之電容率函數及逆電容率函數書寫為 自以上方程式,吾人獲得對比電流密度之方程式
另外,吾人得到關係 E=E inc +G J, (2.93a) 其中G再次表示格林函數運算子。吾人現具體查看電場之x分量及通量密度以達到x方向上之對比電流密度的因式分解規則,亦即
方括號之間的第二項對於固定x沿y方向為連續的。因此,積為可傅立葉因式分解的,亦即,在光譜域中可經由勞倫規則來計算脈衝函數與在方括號之間給出之總電場之間的積。隨後,吾人考慮和 該和對於固定y沿x方向為連續的。因此,空間域中以進行之乘法可藉由光譜域中之勞倫規則來表示,亦即,積為可傅立葉因式分解的。自空間上有效之關係 吾人獲得 上式可重新書寫為積分方程式之x分量,亦即 及對比電流密度之y分量的類似方程式。應注意,入射電 場在各處為連續的,且因此Li規則對於入射電場不起作用。對於z分量,吾人可立即寫下標準積分方程式,此係由於電場之z分量針對固定z對於所有xy為連續的,且因此與之所有積為可傅立葉因式分解的,亦即
本發明之實施例之優點為,該等實施例實現用於在度量衡應用中重建光柵輪廓的對比源反(CSI)演算法。
本發明之實施例避免對不連續性向量場進行運算之不連續運算子及相關聯效能損失,因此提供改良之收斂準確度及速度。
圖23以示意性形式展示藉由程式及資料組態以便執行根據本發明之實施例之方法的電腦系統。該電腦系統包含中央處理設備(CPU)2302,及用以在程式之執行期間儲存程式指令2306及資料2308的隨機存取記憶體(RAM)2304。該電腦系統亦包括磁碟儲存器2310,其用以在程式之執行之前及之後儲存程式指令及資料。
程式指令2306包括快速傅立葉變換常式2312、矩陣乘法函數2314、諸如加法及減法之其他算術函數2316,及陣列組織函數2318。資料2308包含在VIM系統之解的計算期間使用之4D陣列2320及2D陣列2322。未展示用於輸入及輸出之其他習知電腦組件。
在本發明之實施例中,接近被使用傅立葉擴展之單位單元的邊界,傅立葉級數擴展可藉由使用完全匹配層(PML) 或其他類型之吸收邊界條件來分析非週期性結構,從而模擬朝向無窮大之輻射。
可根據參看圖5及圖6描述之重建方法來實施本發明之實施例,以提供一種自藉由輻射進行之物件之照明引起的偵測到之電磁散射特性來重建物件之近似結構的方法。
可藉由在參看圖3及圖4描述之處理設備PU上實施本文中所描述之方法來實施本發明之實施例,以提供用於重建物件之近似結構的檢驗裝置。
參看圖3、圖4及圖23描述之處理器可在含有用於計算結構之電磁散射特性的一或多個序列之機器可讀指令的電腦程式的控制下操作,該等指令經調適以使一或多個處理器執行本文中所描述之方法。
儘管本文中可特定參考檢驗裝置在製造IC中之使用,但應理解,本文所描述之檢驗裝置可具有其他應用,諸如,製造整合光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、液晶顯示器(LCD)、薄膜磁頭等。熟習此項技術者應瞭解,在此等替代應用之內容背景中,可認為本文對術語「晶圓」或「晶粒」之任何使用分別與更通用之術語「基板」或「目標部分」同義。可在曝光之前或之後在(例如)塗佈顯影系統(通常將抗蝕劑層施加至基板且顯影經曝光抗蝕劑之工具)、度量衡工具及/或檢驗工具中處理本文所提及之基板。適用時,可將本文之揭示內容應用於此等及其他基板處理工具。另外,可將基板處理一次以上,(例如)以便創製多層IC,使得本文所使用之術語「基 板」亦可指代已經含有多個經處理層之基板。
如上文參看圖5及圖6所描述,上文所描述之根據本發明之實施例的方法可併入至用於自藉由輻射進行之物件之照明引起的偵測到之電磁散射特性(諸如,繞射圖案)重建物件(不限於1D週期性)之近似結構的前向繞射模型。上文參看圖3及圖4描述之處理設備PU可經組態以使用此方法來重建物件之近似結構。
儘管上文可特定地參考在光學微影之內容背景中對本發明之實施例的使用,但應瞭解,本發明可用於其他應用(例如,壓印微影)中,且在內容背景允許時不限於光學微影。在壓印微影中,圖案化器件中之構形(topography)界定創製於基板上之圖案。可將圖案化器件之構形壓入被供應至基板之抗蝕劑層中,在基板上,抗蝕劑係藉由施加電磁輻射、熱、壓力或其組合而固化。在抗蝕劑固化之後,將圖案化器件移出抗蝕劑,從而在其中留下圖案。
本文所使用之術語「輻射」及「光束」涵蓋所有類型之電磁輻射,包括紫外線(UV)輻射(例如,具有為或為約365奈米、355奈米、248奈米、193奈米、157奈米或126奈米之波長)及極紫外線(EUV)輻射(例如,具有在5奈米至20奈米之範圍內的波長),以及粒子束(諸如,離子束或電子束)。
術語「透鏡」在內容背景允許時可指代各種類型之光學組件中任一者或其組合,包括折射、反射、磁性、電磁及靜電光學組件。
術語「電磁」涵蓋電性及磁性。
術語「電磁散射特性」涵蓋反射及透射係數以及散射測量參數,包括光譜(諸如,作為波長之函數的強度)、繞射圖案(作為位置/角度之函數的強度)及橫向磁極化光與橫向電極化光之相對強度,及/或橫向磁極化光與橫向電極化光之間的相位差。可(例如)使用反射係數來計算繞射圖案自身。
因此,儘管關於反射散射描述了本發明之實施例,但本發明亦適用於透射散射。
雖然上文已描述本發明之特定實施例,但應瞭解,可按與所描述之方式不同的其他方式來實踐本發明。舉例而言,本發明可採取如下形式:電腦程式,該電腦程式含有描述如上文所揭示之方法的一或多個序列之機器可讀指令;或資料儲存媒體(例如,半導體記憶體、磁碟或光碟),該資料儲存媒體具有儲存於其中之此電腦程式。
應瞭解,[實施方式]章節而非[發明內容]及[中文發明摘要]章節意欲用以解釋申請專利範圍。[發明內容]及[中文發明摘要]章節可闡述如由本發明之發明人所預期的本發明之一或多個而非所有例示性實施例,且因此,不意欲以任何方式來限制本發明及附加申請專利範圍。
上文已憑藉說明指定功能及其關係之實施之功能構建區塊來描述本發明。為了便於描述,本文任意地界定此等功能構建區塊之邊界。只要適當地執行指定功能及該等功能之關係,便可界定替代邊界。
特定實施例之前述描述將充分地揭露本發明之一般性質,使得在不脫離本發明之一般概念的情況下,其他人可藉由應用熟習此項技術者之認識針對各種應用而易於修改及/或調適此等特定實施例,而無不當實驗。因此,基於本文所呈現之教示及指導,此等調適及修改意欲係在所揭示實施例之等效物的意義及範圍內。應理解,本文之措辭或術語係出於描述而非限制之目的,使得本說明書之術語或措辭待由熟習此項技術者按照該等教示及該指導進行解釋。
本發明之廣度及範疇不應受到上述例示性實施例中任一者限制,而應僅根據以下申請專利範圍及該等申請專利範圍之等效物進行界定。
本申請案中之申請專利範圍不同於原始申請案或其他相關申請案之申請專利範圍。因此,申請人廢除在原始申請案或關於本申請案之任何前趨申請案中所作出的申請專利範圍之範疇的任何否認聲明。因此,建議審查員可能需要重新視察任何此類先前否認聲明及使其避免的引用參考。另外,亦提醒審查員在本申請案中所作出之任何否認聲明均不應被曲解於或違背於原始申請案。
參考文獻(所有參考文獻以引用方式全文併入本文中)
[1]Evgeny Popov and Michel Nevière.Maxwell equations in Fourier space:fast-converging formulation for diffraction by arbitrary shaped,periodic,anisotropic media.J.Opt.Soc.Am.A,18(11):2886-2894, November 2001.
[2]I.S.Gradshteyn and I.M.Ryzhik.Table of Integrals,Series and Products.Academic Press,1980.
[3]Thomas Schuster,Johannes Ruoff,Norbert Kerwien,Stephan Rafler,and Wolfgang Osten.Normal vector method for convergence improvement using the RCWA for crossed gratings.J.Opt.Soc.Am.A,24(9):2880-2890,September 2007.
[4]R.V.Churchill.Complex Variables and Applications.McGraw-Hill,1960.
[5]Peter Götz,Thomas Schuster,Karsten Frenner,Stephan Rafler,and Wolfgang Osten.Normal vector method for the RCWA with automated vector field generation.OPTICS EXPRESS,16(22):17295-17301,October 2008.
[6]Alvise Sommariva and Marco Vianello.Gauss-Green cubature and moment computation over arbitrary geometries.Journal of Computational and Applied Mathematics,to be published.
[7]Alvise Sommariva and Marco Vianello.Product Gauss cubature over polygons based on Green's integration formula.BIT Numerical Mathematics,47(2):147-177,August 2007.
[8]G.Gabard.Exact integration of polynomial-exponential products with application to wave-based numerical methods.Commun.Numer.Meth.Engng,2008.
[9]Yia-Chung Chang,Guangwei Li,Hanyou Chu,and Jon Opsal.Efficient finite-element,Green's function approach for critical-dimension metrology of three-dimensional gratings on multilayer films.J.Opt.Soc.Am.A,23(3):638-6454,March 2006.
[10]Lifeng Li.Use of Fourier series in the analysis of discontinuous periodic structures. J.Opt.Soc.Am.A,13(9):1870-1876,September 1996.
[11]Lifeng Li.New formulation of the Fourier modal method for crossed surface-relief gratings.J.Opt.Soc.Am.A,14(10):2758-2767,October 1997.
[12]Brent C.Bergner,Thomas A.Germer,and Thomas J.Suleski.Effect of Line Width Roughness on Optical Scatterometry Measurements.Metrology,Inspection,and Process Control for Microlithography XXIII,edited by John A.Allgair,Christopher J.Raymond.Proc.of SPIE Vol.7272,72720U,2009.DOI:10.1117/12.813770.
[13]Philippe Lalanne.Improved formulation of the coupled-wave method for two-dimensional gratings.J.Opt.Soc.Am.A,14(7):1592-1598,July 1997.
[14]A contrast source inversion method,P.M.van den Berg and R.E.Kleinman,Inverse Problems vol.13(1997),pp.1607-1620.
[15]Finite-element contrast source inversion method for microwave imaging,A.Zakaria,C.Gilmore and J.LoVetri,Inverse Problems vol 26 (2010),115010(21pp),doi:10.1088/0266-5611/26/11/115010
[16]A finite-difference contrast source inversion method,A.Abubakar,W.Hu,P.M.van den Berg,and T.M.Habashy,Inverse Problems vol.24(2008),065004(17pp),doi:10.1088/0266-5611/24/6/065004
2‧‧‧輻射投影儀
4‧‧‧光譜儀偵測器
10‧‧‧光譜
11‧‧‧背向投影式光瞳平面
12‧‧‧透鏡系統
13‧‧‧干涉濾光器
14‧‧‧參考鏡面
15‧‧‧顯微鏡接物鏡
16‧‧‧部分反射表面
17‧‧‧極化器
18‧‧‧偵測器
30‧‧‧目標
802‧‧‧基板/結構
804‧‧‧層/結構
806‧‧‧層/結構/頂部層
808‧‧‧二維光柵/結構
812‧‧‧入射場
814‧‧‧反射場
902‧‧‧經直接反射之場
904‧‧‧點源
906‧‧‧場
908‧‧‧背景反射
1802‧‧‧矩形
1804‧‧‧圓弓形
2202‧‧‧橢圓
2204‧‧‧階梯狀近似
2206‧‧‧奇數投影運算子
2208‧‧‧偶數投影運算子
2302‧‧‧中央處理設備(CPU)
2304‧‧‧隨機存取記憶體(RAM)
2306‧‧‧程式指令
2308‧‧‧資料
2310‧‧‧磁碟儲存器
2312‧‧‧快速傅立葉變換常式
2314‧‧‧矩陣乘法函數
2316‧‧‧其他算術函數
2318‧‧‧陣列組織函數
2320‧‧‧4D陣列
2322‧‧‧2D陣列
AD‧‧‧調整器
B‧‧‧輻射光束
BD‧‧‧光束遞送系統
BK‧‧‧烘烤板
C‧‧‧目標部分
CH‧‧‧冷卻板
CO‧‧‧聚光器
DE‧‧‧顯影器
F‧‧‧輔助向量場
IF‧‧‧位置感測器
IL‧‧‧照明器
IN‧‧‧積光器
I/O1‧‧‧輸入/輸出埠
I/O2‧‧‧輸入/輸出埠
LA‧‧‧微影裝置
LACU‧‧‧微影控制設備
LB‧‧‧裝載匣
LC‧‧‧微影單元
M1‧‧‧光罩對準標記
M2‧‧‧光罩對準標記
MA‧‧‧光罩
MT‧‧‧光罩台/圖案化器件
P1‧‧‧基板對準標記
P2‧‧‧基板對準標記
PL‧‧‧投影系統
PM‧‧‧第一定位器
PU‧‧‧處理設備
PW‧‧‧第二定位器
RO‧‧‧基板處置器/機器人
SC‧‧‧旋塗器
SCS‧‧‧監督控制系統
SO‧‧‧輻射源
TCU‧‧‧塗佈顯影系統控制設備
W‧‧‧基板
WT‧‧‧基板台
圖1描繪微影裝置。
圖2描繪微影單元或叢集。
圖3描繪第一散射計。
圖4描繪第二散射計。
圖5描繪用於自散射計量測來重建結構之使用本發明之實施例的第一實例程序。
圖6描繪用於自散射計量測來重建結構之使用本發明之實施例的第二實例程序。
圖7描繪可根據本發明之實施例重建的散射幾何形狀。
圖8描繪背景之結構。
圖9說明使用格林函數來計算經散射場與層狀介質之相互作用。
圖10為對對應於對比電流密度之VIM公式的線性系統求 解之高階方法的流程圖。
圖11為使用如先前技術中已知之對比電流密度之VIM公式的更新向量之計算的流程圖。
圖12描繪本發明之實施例。
圖13a為根據本發明之實施例之更新向量的計算的流程圖。
圖13b為根據本發明之實施例的在藉由對比源反演算法對VIM公式求解中使用之對比電流密度的矩陣-向量積之流程圖。
圖13c為在圖13b之矩陣-向量積中使用之材料及投影運算子之運算的流程圖。
圖14為根據本發明之實施例的計算結構之電磁散射特性之方法的流程圖。
圖15a為具有偏移c 0之旋轉橢圓的整體座標系統(x,y)及局部座標系統(x",y")的定義。
圖15b說明橢圓座標系統之NV場。
圖15c說明橢圓之保角映射。
圖16a說明矩形之連續NV場。
圖16b說明矩形之不連續NV場。
圖17說明以基本形狀使「狗骨」網格化。
圖18說明根據本發明之實施例自較小矩形及圓弓形構建具有圓角矩形橫截面之稜柱的法向向量場。
圖19說明具有NV場及局部座標系統之經旋轉且移位的三角形。
圖20說明具有NV場及局部座標系統之經旋轉且移位的梯形。
圖21說明具有NV場及局部座標系統之經旋轉且移位的圓弓形。
圖22描繪藉由階梯狀近似來近似橢圓之程序;及圖23以示意性形式描繪藉由程式及資料而組態以便執行根據本發明之實施例之方法的電腦系統。
附錄A
貝塞爾函數之徑向積分
徑向積分進行總計以找到以下積分之封閉表達式ʃ z z'J 2n (z')dz'及ʃ z z'J 2n+1(z')dz',針對(n 0)。 (A1)
此等表達式可藉由使用貝塞爾函數之以下遞歸關係來找到([2])zJ n-1(z)+zJ n+1(z)=2nJ n (z), (A2)
n+1≡2k代入方程式(2)中並進行積分給出偶數貝塞爾積分之遞歸關係ʃzJ 2k (z)dz=2(2k-1)ʃJ 2k-1(z)dzzJ 2k-2(z)dz。 (A4)
可使用方程式(A3)重新書寫此方程式中之第二積分。取代n+1=2k-1並進行積分給出ʃ z J 2k-1(z')dz'=ʃ Z J 2k-3(z')dz'-2J 2k-2(z)。 (A5)
可顯式地書寫兩個遞歸積分表達式
將方程式(A7)代入方程式(A6)中給出
此表達式之缺點在於,貝塞爾函數具有同一引數之多個評估。由於此在數值上為代價巨大的運算,所以切換雙重總和,從而給出
k索引上之最後總和可展示為等於 從而給出偶數貝塞爾函數上之徑向積分的最終封閉型表達式 其中總和僅適用於n>1。
同一推理可應用於奇數貝塞爾函數上之徑向積分。將n+1=2k+1代入方程式(A2)中及將n+1=2k代入方程式(A3)中及進行積分給出以下遞歸積分ʃ z z'J 2k+1(z')dz'=2(2k z J 2k (z')dz'-ʃ z z'J 2k-1(z')dz', (A12) ʃ z J 2k (z')dz'=ʃ z J 2k-2(z')dz'-2J 2k-1(z)。 (A13)
顯式地書寫兩個遞歸關係給出
將方程式(A15)代入方程式(A14)中及交換雙重總和給出
使用關係 及部分地對方程式(A16)中之zJ 1(z)進行積分給出最終表達 其中最終總和僅適用於n 1。
附錄B
角積分
將最一般形式之角積分書寫為 其中大括號之間的項之所有組合為可能的,為橢圓率,φ s 為橢圓或圓弓形之角度,且c為自幾何輸入參數得出的角度偏移(參見方程式(83))。儘管可導出此積分之封閉表達式,但針對此報告,吾人應著重於兩種特定狀況,
‧圓弓形
‧全橢圓 對於圓,e=1,其實質上簡化了積分 其中e指代偶數模式,且o指代奇數模式。此外,大括號之間的項之所有組合為可能的。直接積分給出
可針對奇數角積分導出類似表達式
對於全橢圓之狀況,e在方程式(B1)中為任意的。可將偶數角積分(k,0,2π)書寫為 其中雙重角度三角關係已用以重新書寫正弦及餘弦之平方。正弦-餘弦積之另外使用及所有三角函數具有2π週期性之事實給出
利用被積函數之對稱性,可展示 其中基本積分已用於方程式(B17)中(參見[2,第366頁])。
藉由相同對稱因數,可展示
針對耐心地重複此工作展示
應注意,對於圓(e=1)之狀況,僅(k=1)項為非零的。
802‧‧‧基板/結構
804‧‧‧層/結構
806‧‧‧層/結構/頂部層
808‧‧‧二維光柵/結構
812‧‧‧入射場
814‧‧‧反射場

Claims (15)

  1. 一種計算一結構之電磁散射特性之方法,該結構包括不同特性之材料以便在一材料邊界處引起一電磁場的至少一不連續性,該方法包含:(a)藉由判定一對比電流密度之分量來數值上對該對比電流密度的一體積積分方程式求解,該判定藉由使用一場-材料相互作用運算子來對該電磁場之一連續分量及對應於該電磁場之一按比例調整之電磁通量密度的一連續分量進行運算來進行,該按比例調整之電磁通量密度作為該電磁場及該對比電流密度之不連續分量的一按比例調整和而形成;及(b)使用該對比電流密度之該等所判定分量來計算該結構之電磁分散特性。
  2. 如請求項1之方法,其進一步包含以下步驟:(a)使用一第一連續分量提取運算子來提取該電磁場之該連續分量;及(b)使用一第二連續分量提取運算子來提取該按比例調整之電磁通量密度的該連續分量,其中該場-材料相互作用運算子對該等所提取之連續分量進行運算。
  3. 如請求項1或2之方法,其中該結構在至少一個方向上為週期性的,且該電磁場之該連續分量、該按比例調整之電磁通量密度的該連續分量、該對比電流密度之該等分量及該場-材料相互作用運算子關於該至少一個方向藉由 至少一個各別有限傅立葉級數表示於光譜域中,且該方法進一步包含藉由傅立葉係數之計算來判定該場-材料相互作用運算子的係數。
  4. 如請求項1或2之方法,其進一步包含自該電磁場之該連續分量及該按比例調整之電磁通量密度之該連續分量形成在該材料邊界處連續的一向量場,且其中藉由使用一場-材料相互作用運算子對該向量場進行運算來執行判定該對比電流密度之分量的該步驟。
  5. 如請求項4之方法,其進一步包含:(a)在關於該材料邊界而界定的該結構之一區中產生一局部化法向向量場;(b)藉由使用該法向向量場以選擇與該材料邊界相切之該電磁場之連續分量及選擇垂直於該材料邊界之一相應電磁通量密度的連續分量來建構該向量場;(c)在該區上執行該法向向量場之一局部化積分以判定該場-材料相互作用運算子的係數。
  6. 如請求項1或2之方法,其進一步包含:(a.)在關於該材料邊界而界定的該結構之一區中產生一局部化法向向量場;(b)使用該法向向量場選擇垂直於該材料邊界的該電磁場之該等不連續分量及垂直於該材料邊界的該對比電流密度之該等不連續分量;(c)在該區上執行該法向向量場之一局部化積分以判定該場-材料相互作用運算子的係數。
  7. 如請求項5之方法,其中產生該局部化法向向量場的該步驟包含:按比例調整該等連續分量中的至少一者。
  8. 如請求項5之方法,其中產生該局部化法向向量場的該步驟包含:直接對該向量場使用一變換運算子以將該向量場自取決於該法向向量場之一基礎變換至獨立於該法向向量場的一基礎。
  9. 如請求項5之方法,其中產生一局部化法向向量場的該步驟包含將該區分解成各自具有一各別法向向量場的複數個子區,且執行一局部化積分的該步驟包含在該等子區中之每一者的該各別法向向量場上進行積分。
  10. 如請求項5之方法,其進一步包含在該局部化法向向量場得以產生且對於該材料邊界為局部的該區中使用垂直於該材料邊界之電容率的一分量及與該材料邊界相切之電容率之至少一個其他不同分量而使該電磁通量密度與該電場相關。
  11. 一種自因藉由輻射對一物件進行之照明而產生的一偵測到之電磁散射特性重建該物件之一近似結構之方法,該方法包含以下步驟:(a)估計至少一個結構參數;(b)自該至少一個結構參數判定至少一個模型電磁散射特性;(c)比較該偵測到之電磁散射特性與該至少一個模型電磁散射特性;及(d)基於該比較之結果判定一近似物件結構, (e)其中使用一如前述請求項中任一項之方法來判定該模型電磁散射特性。
  12. 如請求項11之方法,其進一步包含將複數個該等模型電磁散射特性配置於一庫中的步驟,且比較的該步驟包含使該偵測到之電磁散射特性與該庫之內容匹配。
  13. 如請求項11或12之方法,其進一步包含反覆判定至少一個模型電磁散射特性的該步驟及比較該偵測到之電磁散射特性的該步驟,其中基於一先前反覆中之比較的該步驟的該結果來修正該結構參數。
  14. 一種用於重建一物件之一近似結構之檢驗裝置,該檢驗裝置包含:(a)一照明系統,該照明系統經組態以藉由輻射照明該物件;(b)一偵測系統,該偵測系統經組態以偵測因該照明而產生之一電磁散射特性;及(c)一處理器,該處理器經組態以:(i)估計至少一個結構參數;(ii)自該至少一個結構參數判定至少一個模型電磁散射特性;(iii)比較該偵測到之電磁散射特性與該至少一個模型電磁散射特性;且(iv)自該偵測到之電磁散射特性與該至少一個模型電磁散射特性之間的一差判定一近似物件結構, 其中該處理器經組態以使用一如請求項1至10中任一項之方法來判定該模型電磁散射特性。
  15. 一種含有用於計算一結構之電磁散射特性的一或多個序列之機器可讀指令之電腦程式產品,該等指令經調適以使一或多個處理器執行一如請求項1至10中任一項之方法。
TW101109958A 2011-03-23 2012-03-22 用於計算結構之電磁散射特性及用於近似結構之重建之方法及裝置 TWI456337B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201161466566P 2011-03-23 2011-03-23

Publications (2)

Publication Number Publication Date
TW201303482A true TW201303482A (zh) 2013-01-16
TWI456337B TWI456337B (zh) 2014-10-11

Family

ID=45977165

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101109958A TWI456337B (zh) 2011-03-23 2012-03-22 用於計算結構之電磁散射特性及用於近似結構之重建之方法及裝置

Country Status (8)

Country Link
US (1) US9116834B2 (zh)
EP (1) EP2515168B1 (zh)
JP (1) JP5331221B2 (zh)
KR (1) KR101351453B1 (zh)
CN (1) CN102692823B (zh)
IL (1) IL218588A (zh)
SG (1) SG184675A1 (zh)
TW (1) TWI456337B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI514169B (zh) * 2013-10-22 2015-12-21 Nat Univ Tsing Hua 一種決定對數函數單元的硬體實現方法以及執行該方法的電腦程式產品
TWI557404B (zh) * 2013-09-09 2016-11-11 Asml荷蘭公司 用於計算結構之電磁散射屬性及用於近似結構之重建之方法及檢測裝置以及電腦程式產品
TWI564795B (zh) * 2014-11-14 2017-01-01 英特爾股份有限公司 四維摩頓座標轉換處理器、方法、系統及指令
TWI574001B (zh) * 2013-11-26 2017-03-11 Asml荷蘭公司 用於計算結構之電磁散射屬性及估計其幾何與材料參數之方法與裝置
US9772850B2 (en) 2014-11-14 2017-09-26 Intel Corporation Morton coordinate adjustment processors, methods, systems, and instructions
US9772848B2 (en) 2014-11-14 2017-09-26 Intel Corporation Three-dimensional morton coordinate conversion processors, methods, systems, and instructions

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2005325A (en) * 2009-09-24 2011-03-28 Asml Netherlands Bv Methods and apparatus for modeling electromagnetic scattering properties of microscopic structures and methods and apparatus for reconstruction of microscopic structures.
US9091942B2 (en) * 2011-11-18 2015-07-28 International Business Machines Corporation Scatterometry measurement of line edge roughness in the bright field
US9311700B2 (en) 2012-09-24 2016-04-12 Kla-Tencor Corporation Model-based registration and critical dimension metrology
WO2014062972A1 (en) * 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
JP5974840B2 (ja) * 2012-11-07 2016-08-23 ソニー株式会社 シミュレーション方法、シミュレーションプログラム、シミュレータ、加工装置、半導体装置の製造方法
US8869081B2 (en) * 2013-01-15 2014-10-21 International Business Machines Corporation Automating integrated circuit device library generation in model based metrology
US9915522B1 (en) * 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
CN103543598B (zh) * 2013-09-22 2016-04-13 华中科技大学 一种光刻掩模优化设计方法
US10955359B2 (en) * 2013-11-12 2021-03-23 International Business Machines Corporation Method for quantification of process non uniformity using model-based metrology
US9395622B2 (en) * 2014-02-20 2016-07-19 Globalfoundries Inc. Synthesizing low mask error enhancement factor lithography solutions
CN107111870B (zh) * 2014-10-27 2021-08-06 科磊股份有限公司 对计量目标成像的质量估计及改进
KR102010941B1 (ko) * 2015-03-25 2019-08-14 에이에스엠엘 네델란즈 비.브이. 계측 방법, 계측 장치 및 디바이스 제조 방법
JP6810734B2 (ja) * 2015-07-17 2021-01-06 エーエスエムエル ネザーランズ ビー.ブイ. 構造との放射のインタラクションをシミュレートするための方法及び装置、メトロロジ方法及び装置、並びに、デバイス製造方法
NL2017510A (en) 2015-10-12 2017-04-24 Asml Netherlands Bv Methods and apparatus for simulating interaction of radiation with structures, metrology methods and apparatus, device manufacturing method
US9715721B2 (en) * 2015-12-18 2017-07-25 Sony Corporation Focus detection
US10340165B2 (en) * 2016-03-29 2019-07-02 Kla-Tencor Corporation Systems and methods for automated multi-zone detection and modeling
WO2017201334A1 (en) * 2016-05-19 2017-11-23 Regents Of The University Of Colorado, A Body Corporate Complex spatially-resolved reflectometry/refractometry
WO2018007126A1 (en) * 2016-07-07 2018-01-11 Asml Netherlands B.V. Method and apparatus for calculating electromagnetic scattering properties of finite periodic structures
EP3370114A1 (en) 2017-03-02 2018-09-05 ASML Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure and for reconstruction of approximate structures
WO2018108503A1 (en) * 2016-12-13 2018-06-21 Asml Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure and for reconstruction of approximate structures
US10873404B2 (en) * 2017-07-13 2020-12-22 Benjamin J. Egg System and method for digital direction finding
EP3457211A1 (en) * 2017-09-13 2019-03-20 ASML Netherlands B.V. A method of aligning a pair of complementary diffraction patterns and associated metrology method and apparatus
EP3480659A1 (en) 2017-11-01 2019-05-08 ASML Netherlands B.V. Estimation of data in metrology
US11156548B2 (en) * 2017-12-08 2021-10-26 Kla-Tencor Corporation Measurement methodology of advanced nanostructures
KR102498694B1 (ko) * 2018-05-07 2023-02-10 에이에스엠엘 네델란즈 비.브이. 전산 리소그래피 마스크 모델과 관련된 전자계를 결정하는 방법
EP3570108A1 (en) * 2018-05-14 2019-11-20 ASML Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure
KR102546691B1 (ko) * 2018-10-22 2023-06-22 삼성전자주식회사 자기 특성 측정 시스템, 자기 특성 측정 방법, 및 이를 이용한 자기 기억 소자의 제조방법
US11480868B2 (en) * 2019-03-22 2022-10-25 International Business Machines Corporation Determination of optical roughness in EUV structures
CN112507647B (zh) * 2020-12-15 2023-07-21 重庆邮电大学 空间电磁场作用分叉线的电磁耦合时域建模分析方法
CN112632832B (zh) * 2020-12-28 2023-10-27 中国科学院电工研究所 一种基于重叠网格运动边界重构的运动电磁场计算方法
WO2023063703A1 (ko) * 2021-10-14 2023-04-20 라이트비전 주식회사 공간군 추론이 용이한 분류 체계 시스템 및 이에 있어서 정대축 추천 방법
CN115099089B (zh) * 2022-06-23 2024-04-12 中国人民解放军国防科技大学 均匀背景下的te极化快速互相关对比源电磁反演方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6795801B1 (en) * 1999-08-13 2004-09-21 Electric Power Research Institute, Inc. Apparatus and method for analyzing anisotropic particle scattering in three-dimensional geometries
US7193708B1 (en) * 2000-03-21 2007-03-20 J.A. Woollam Co., Inc Time efficient method for investigating sample systems with spectroscopic electromagnetic radiation
US7385697B2 (en) * 2003-02-28 2008-06-10 J.A. Woollam Co., Inc. Sample analysis methodology utilizing electromagnetic radiation
US6867866B1 (en) 2001-08-10 2005-03-15 Therma-Wave, Inc. CD metrology analysis using green's function
US6609086B1 (en) * 2002-02-12 2003-08-19 Timbre Technologies, Inc. Profile refinement for integrated circuit metrology
EP1536730A2 (en) 2002-09-10 2005-06-08 Euro-Celtique, S.A. Apparatus and method for non-invasive measurement of blood constituents
US20050185174A1 (en) 2004-02-23 2005-08-25 Asml Netherlands B.V. Method to determine the value of process parameters based on scatterometry data
US7388677B2 (en) * 2004-03-22 2008-06-17 Timbre Technologies, Inc. Optical metrology optimization for repetitive structures
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7274465B2 (en) * 2005-02-17 2007-09-25 Timbre Technologies, Inc. Optical metrology of a structure formed on a semiconductor wafer using optical pulses
US8076227B2 (en) * 2005-05-19 2011-12-13 The Invention Science Fund I, Llc Electroactive polymers for lithography
JP2007027331A (ja) * 2005-07-14 2007-02-01 Canon Inc 駆動装置及びこれを用いた露光装置並びにデバイス製造方法
US7643666B2 (en) * 2006-08-08 2010-01-05 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
NL2005325A (en) 2009-09-24 2011-03-28 Asml Netherlands Bv Methods and apparatus for modeling electromagnetic scattering properties of microscopic structures and methods and apparatus for reconstruction of microscopic structures.

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI557404B (zh) * 2013-09-09 2016-11-11 Asml荷蘭公司 用於計算結構之電磁散射屬性及用於近似結構之重建之方法及檢測裝置以及電腦程式產品
US9766552B2 (en) 2013-09-09 2017-09-19 Asml Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure and for reconstruction of approximate structures
TWI514169B (zh) * 2013-10-22 2015-12-21 Nat Univ Tsing Hua 一種決定對數函數單元的硬體實現方法以及執行該方法的電腦程式產品
TWI574001B (zh) * 2013-11-26 2017-03-11 Asml荷蘭公司 用於計算結構之電磁散射屬性及估計其幾何與材料參數之方法與裝置
US9939250B2 (en) 2013-11-26 2018-04-10 Asml Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure and for estimation of geometrical and material parameters thereof
TWI564795B (zh) * 2014-11-14 2017-01-01 英特爾股份有限公司 四維摩頓座標轉換處理器、方法、系統及指令
US9772850B2 (en) 2014-11-14 2017-09-26 Intel Corporation Morton coordinate adjustment processors, methods, systems, and instructions
US9772848B2 (en) 2014-11-14 2017-09-26 Intel Corporation Three-dimensional morton coordinate conversion processors, methods, systems, and instructions
US9772849B2 (en) 2014-11-14 2017-09-26 Intel Corporation Four-dimensional morton coordinate conversion processors, methods, systems, and instructions

Also Published As

Publication number Publication date
EP2515168B1 (en) 2021-01-20
EP2515168A2 (en) 2012-10-24
JP5331221B2 (ja) 2013-10-30
KR20120108949A (ko) 2012-10-05
CN102692823A (zh) 2012-09-26
US20130066597A1 (en) 2013-03-14
TWI456337B (zh) 2014-10-11
JP2012204835A (ja) 2012-10-22
KR101351453B1 (ko) 2014-01-14
SG184675A1 (en) 2012-10-30
US9116834B2 (en) 2015-08-25
CN102692823B (zh) 2014-11-05
IL218588A (en) 2015-09-24
IL218588A0 (en) 2012-07-31
EP2515168A3 (en) 2012-12-12

Similar Documents

Publication Publication Date Title
TW201303482A (zh) 用於計算結構之電磁散射特性及用於近似結構之重建之方法及裝置
US8706455B2 (en) Methods and apparatus for calculating electromagnetic scattering properties of a structure using a normal-vector field and for reconstruction of approximate structures
TWI472744B (zh) 用於模型化顯微鏡結構之電磁散射特性之方法與裝置及重建顯微鏡結構之方法與裝置
TWI557404B (zh) 用於計算結構之電磁散射屬性及用於近似結構之重建之方法及檢測裝置以及電腦程式產品
TWI536010B (zh) 評估結構的注意參數值重建品質之方法,檢測裝置及電腦程式產品
US10408753B2 (en) Method and apparatus for calculating electromagnetic scattering properties of finite periodic structures
TWI574001B (zh) 用於計算結構之電磁散射屬性及估計其幾何與材料參數之方法與裝置
TWI645178B (zh) 用於計算有限週期結構之電磁散射特性之方法及裝置
US8875078B2 (en) Reference library generation method for methods of inspection, inspection apparatus and lithographic apparatus
TWI667548B (zh) 檢測方法與裝置及微影裝置
TW201833684A (zh) 用於計算結構之電磁散射性質及用於重建近似結構之方法及設備
EP3514629A1 (en) Methods and apparatus for constructing a parameterized geometric model of a structure and associated inspection apparatus and method