TW201248443A - Integrated circuit, method of generating a layout of an integrated circuit using standard cells, and a standard cell library providing such standard cells - Google Patents

Integrated circuit, method of generating a layout of an integrated circuit using standard cells, and a standard cell library providing such standard cells Download PDF

Info

Publication number
TW201248443A
TW201248443A TW101111149A TW101111149A TW201248443A TW 201248443 A TW201248443 A TW 201248443A TW 101111149 A TW101111149 A TW 101111149A TW 101111149 A TW101111149 A TW 101111149A TW 201248443 A TW201248443 A TW 201248443A
Authority
TW
Taiwan
Prior art keywords
voltage
standard
column
lattice
connection region
Prior art date
Application number
TW101111149A
Other languages
English (en)
Inventor
John Philip Biggs
James Edward Myers
David William Howard
David Walter Flynn
Carsten Tradowsky
Original Assignee
Advanced Risc Mach Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Risc Mach Ltd filed Critical Advanced Risc Mach Ltd
Publication of TW201248443A publication Critical patent/TW201248443A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11868Macro-architecture
    • H01L2027/11874Layout specification, i.e. inner core region
    • H01L2027/11881Power supply lines

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

201248443 六、發明說明: 【發明所屬之技術領域】 本發明係關於積體電路之領域,且特定言之係關於用 於產生此等積體電路佈局之技術。 【先前技術】 在半導體積體電路之設計中,已知提供自動工具,該 等自動工具使用經計劃積體電路之功能設計(例如,以 閘位準網路連線表或該設計之暫存器傳送語言較高位準 表示之形式)及提供標準晶格之集合的晶格函式庫(標 準晶格界定功能組件,且為用於根據該功能設計將積體 電路佈局放在一起之「構建塊」),以產生積體電路佈局。 通常’標準晶格藉由自動工具成列佈置且(將列視為 水平地移動)每一標準晶格之左邊界及右邊界為任何給 疋標準晶格可置放成緊鄰任何其他給定標準晶格。因 此’自動工具具有將標準晶格置放於其中之自由選擇, 以便在較低布線額外負擔的情況下滿足功能設計之 求。 每—標準晶格之左邊界及右邊界通常由彼標準晶格之 對接框(此處亦稱為對接區域)界定,該對接框具有藉 由(通常等於)列高度規定的高度且該對接框具有為— 或更夕個單位寬度的寬度’其中左邊界及右邊界由對接 框之寬度界定。 標準晶格通常沿著標準晶格之頂部及底部界定電麻 4 201248443 轨,該等電壓軌定位成與在所有其他標準晶格中提 相應電壓軌對準,以使得當複數個標準晶格佈置成列 時’每-標準晶格内的電壓執對接,以形成沿著列之整 個頂部及底部移動之電壓執。傳統上’此兩個軌向彼列 中之標準晶格提供功率軌及接地軌。因此,藉由特定列 内的標準晶格實施的組件中之每一組件將通常由相同的 電源供應器及接地供應器驅動。 然而’將此等標準晶格用於低功率實施中需要具有不 同電源供應器(無論是多電壓的還是功率閘控的)之晶 格以實體方式分隔成單塊電壓區域。此舉提供對於二 設計者之顯著約束’因為此舉規定適合於功率管理技術 的區塊之尺寸、形狀及/或連接性。 在使用切換電源供應器與非切換電源供應器兩者之積 體電路内’已知將切換電源供應器連接至以上所述軌中 之-個軌’且隨後以提供特殊標準晶格之子集,該等特 殊標準晶格橫跨該等特殊標準晶格之整個寬度提供功率 軌(以在使功率軌能夠存在於整個列間時支援該等特殊 標準晶格在列内的置放),但該等特殊標準晶格具備未與 功率執對準(例如’在定位於標準晶格内的功率軌之内 側)之單獨的專用插腳,以使永遠開啟的電源供應器能 =提供至彼晶格。然:而’由於需要設計特殊晶格,且儘 B違等特殊晶格可能未自彼軌接受電源供應器,但該等 特殊晶格仍需要在彼等晶格内提供功率軌以與鄰近晶格 相容’故此方法缺乏撓性。 201248443 實施經交錯功率域之另 一方式為建立每一功率域之交 替列(互斥的單一/雙重列電壓區域),以使得晶格可鬆 散地分佈’但該等晶格必須實際上搭扣至該等曰曰曰格之專 用列。然而’ &方法擾動置放且延長電線,纟因此當此 等技術潛在地對-些實施有用時,例如對提供互連結構 之部分的組件有用’此等技術通常對效能關鍵設計不是 有用的 CPU)。 processing units; 例如中央處理單元(central 因此將#•望開發一種技術,該技術向個別標準晶格 提供電壓供應器之連接之經改良的撓性。 【發明内容】 自第-態樣來看’本發明提供—種產生積體電路佈局 方法》亥方法包含以下步驟:形成複數個Μ,在該i 内提供積體電路之功能纽件,每—列具有預定列 ^ ’提供標準晶格函式庫,標準晶格函式庫内的每一標 準曰曰格界定相應功能組件,|_標準晶格具有對接區 域,該對接區域包含藉由列高規定的高度,及寬度;用 取^於積體電路所需要的功能組件選擇之複數個標準晶 :充每歹1卜每-標準晶格具有該每-標準晶格之對 接區域’該對接區域對接列中至少—個鄰近標準晶格之 對接:域;針對每-列,提供沿著彼列移動之布線軌; :母歹’丨内’將彼列中的每一標準晶格佈置成具有與該 線轨對準之電壓連接區域,但其中彼列中之每一標準 6 201248443 B曰格具有該電壓連接區域,該電壓連接區域配置成不在 心aa格之整個寬度間延伸;提供複數個電壓供應器; 以及在每一列内,針對該列中之每一標準晶格,慮及由 彼標準晶格界定的相應功能組件之電壓要求且與該列中 每鄰近標準晶格連接至的電壓供應器無關,將彼標準 曰曰格之電壓連接區域連接至該複數個電壓供應器中之一 個電壓供應器。 根據本發明,列中之每一標準晶格佈置成具有與特定 ^線轨對準的電壓連接區域,且在每一標準晶格内,電 壓連接區域配置成不在標準晶格之整個寬度間延伸。因 此,一個標準晶格中之電壓連接區域並不對接列内鄰近 標準晶格中之電壓連接區域,且因此,未形成列間之共 用軌。隨後提供複數個電壓供應器,且針對列中之每一 ^準B曰格,慮及由彼標準晶格界定的功能組件之電壓要 夂將彼心準BB格之電壓連接區域連接至該複數個電壓 供應器中之一個電壓供應器。由於列中每一標準晶格内 之電壓連接區域經對準而非對接,故此舉使每一標準晶 格之電壓供應器能夠被獨立地選擇。因&,由於個別標 準曰曰格之置放未受到該等標準晶才各需要的電壓供應器約 束故®產生積體電路佈局時,提供許多撓性。特定言 之,不再需要將全部將脫離相同電壓供應器的標準晶格 實施組件分組在-起成為單塊,且實情為,在任何特定 列内可提供脫離各種不同電壓供應器之各種標準晶格。 出於本申請案之㈣’術語「列」並不意欲暗示水平 201248443 佈置之要求’且確實,雖然列可在積體電路間水平 但該等列可替代性地穿過積體電路垂直移動。動’ 以上所述的產生積體電路佈局之方法可延伸成—種方 :,邊方法藉由執行輸出由以上方法產生的佈局資料且 隨後根據彼佈局資料製造積體電路之額外步驟 積體電路》 个农w 存在可在個別標準晶格中之電壓連接區域與需要的電 壓供應器之間連接之數個方式,以此種方式避免與在其 他‘準b曰格中進打的連接至潛在不同電壓供應器之其他 連接接觸。在—個實施财,方法進-步包含以下步驟: 提供複數個導電連接器配置,每一導電連接器配置罝有 自該複數個電壓供應器之相關聯電塵供應器且促進該電 壓連接區域與該相關聯電壓供應器之間的電壓連接;以 及針對該列中之每-標準晶格,該連接步驟包含以下步 驟:決定連接至哪個電麼供應^,且使用才目關聯導電連 接益配置來提供電壓連接區域與該經決定電壓供應器之 間的電壓連接。 在一個實施例中,該複數個電壓供應器中之一個電壓 供應器經定位成使得相關聯導電連接器配置之使用產生 在第一方向上在電壓連接區域與該一個電壓供應器之間 延伸之導電連接器,且該複數個電壓供應器令之至少一 個其他電壓供應器經定位成使得相關聯導電連接器配置 之使用產生至少部分在第二方向上在電壓連接區域與彼 其他電壓供應器之間延伸之導電連接器,該第二方向與 201248443 第一方向正交。 一在一個特定實施例中’第一方向垂直於由對接區域界 定的平面。在一個特定實施例中,因此可在列中每一標 準S曰格之電壓連接區域上方(亦即,覆蓋)直接提供一 個電遷供應器’例如在提供Μ連接區域之層上方的不 同層中提供一個電壓供應器,且隨後可在深度方向上提 供導電連接器,以將列中選定的電壓連接區域直接連接 至彼電壓供應器。隨後,向其他電壓供應器中之每一電 壓供應器提供偏移至電壓連接區域’以便該等其他電壓 供應器之相關聯導電連接器至少部分在與深度方向正交 的方向上延伸。 在個實細例中,每-標準晶格界定複數個層,該複 數個層包括第一金屬層,且在該第一金屬層中提供該電 壓連接區域,方法進-步包含以下步驟:在該複數個列 之第一列與第二列之間的間隙中之該第一金屬層中提供 "入電壓軌;將來自該複數個電壓供應器之第一電壓供 應器耦接至該介入電壓轨;以及在該連接步驟期間,藉 由將導電連接器置放於彼電壓連接區域與介人電壓轨之 間的該第一金屬層中,來將,.隹Β Μ ^ ^ τ木將軚準晶格之電壓連接區域連 接至該第一電壓供應器。 因此,在此等實施例中,可完全在第一金屬層中提供 第-電壓供應II與選定的電壓連接區域之間所需要的導 電連接器。 在-個此實施例中’方法進一步包含以下步驟:在與 201248443 该第-金屬層分隔的第二金屬層中,在垂直於由對接區 域界定的平®之深度方向上提供來自該複數個電麼供應 器之第一電壓供應益,以及在該連接步驟期間,藉由將 導電連接器置放於第一金屬層中的電壓連接區域與第二 金屬層中的第二電壓供應器之間,來將標準晶格之電壓 連接區域連接至該第二電壓供應器。 因此,在此實施例中,當可完全在第一金屬層中提供 電壓連接區域與第一電壓供應器之間的導電連接器時, 電壓連接區域與第二電壓供應器之間的導電連接器在第 一金屬層與第二金屬層之間延伸。 在一個特定實施例中,方法進一步包含以下步螺:藉 由在與該布線軌對準之黛 釕半之第—金屬層中移動的電壓供應器 接線,來提供第二供應;以及在該連❹驟期間, 建立至少-個通路’以在第—金屬層中的電壓連接區域 與第二金屬層中的第二電壓供應器之間提供導電連接 器。 此舉提供尤其簡單且空間有效的實施,其中相對於彼 此成切線提供與第—電壓供應器及第:電壓供應器相關 聯之導電連接写。i # 田需要允許-些空間介於兩個鄰近列 之間,以提供支援第一電麗 电&供應益之介入電壓軌時,在 與布線執對準的第二金屬層中 場增干梃供第二電壓供應器,且 因此不需要介於列之間的 1 π二間來支挺第二電壓供應 器。 在一些實施例 可此希望提供多於兩個電壓供應 10 201248443 器:在支援此多個電壓供應器之—個實施例中,每一標 準晶格界定複數個層, 複數個層包括第一金屬層,且 ^第—金屬層中提供該電壓連接區域,方法進一步包 3以下步驟··在虫士女楚 在…亥第一金屬層分隔的第二金屬層中, 在垂直於由對接區域界定 J十面之冰度方向上提供複數 個:入電壓軌,該複數個介人電壓軌心於該複數個列 之弟一列與第二列之間的間隙中;將來自該複數個電虔 供應器之不同電屡供應器㈣至該每-介人電塵執;以 及在該連接步驟„,藉由❹導電連接器,來將標準 晶格之轉連接區域連接至該等介人電壓執中之一個介 入電壓軌’ 4導電連接器在該第—金屬層令部分地延伸 至該第-列與該第二列之間的該間隙中。 因此,複數個介入電壓軌定位於列之間的間隙令,其 中在第一金屬層中提供彼等介入電壓軌,以便允許導電 連接器佈線至彼等介人電壓軌中之每—介人電壓軌而不 接觸其他介入電壓軌。在一個特定實施例中,每一此導 電連接器在第一金屬層中部分地延伸至一點,該點在所 需要的介入電壓執下方,且隨後提供通路,以在第二金 屬層中的"入電壓軌與第一金屬層中的導電連接器之另 一部分之間形成導電連接器之剩餘部分。 在此一般的技術之空間有效的實施中,第二金屬層中 的電壓轨中之一個電壓軌可佈置成直接覆蓋布線轨,電 壓連接區域與該布線軌對準,以使得可僅藉由在第一金 屬層中的電壓連接區域與第二金屬層中的電壓軌之間延 201248443 伸之通孔,來提供彼電壓執之導電連接器。 專先上已難以為之提供獨立的電壓供應器之積體電路 之一個結構為用以提供互連積體電路之多個區塊的匯流 排網路之互連結構。歸因於互連結構之分佈本質,通常 希望以分佈方式置放形成互連結構之各個互連組件,該 ^佈方式慮及與經由互連結構傳送之訊號相關聯的時序 、勺束然而,當互連組件以分佈方式在積體電路内展開 時,很難❹傳統技術來提供彼等互連組件之單獨的電 壓供應器。特定言之’根據標準設計,約束此等互連組 件以使相同電遂供應器作為鄰近組件,該等鄰近組件 在彼等互連組件常駐之列内提供。傳統上,若希望功率 閘控互連結構之某些互連組件’則有必要將彼等互連組 件中之所有互連組件分組在一起,但當然此舉隨後引起 關於滿足互連内時序要求之問題。 然而y艮據本發明之實施例,有可能慮及時序約束來 置放彼寺互連組件’且有可能歸因於標準晶格内的電壓 連接區域未在整個寬度間延伸,且因此未對接鄰近晶格 中之電壓連接區域,儘管彼等組件處於不同列中,但仍 使用相同電壓供應器來功率閘控彼等互連組件。 此在個貫鈀例中,方法進一步包含以下步驟: 在該等列之多個列間提供複數個標準晶格,以在積體電 路内共同地實施互連結構之至少—個通道;以及將實施 少個通道的彼等標準晶格中之每一標準晶格連接至 自"玄複數個電壓供應器之相同電壓供應器。 12 201248443 热習此項技術者將理解’現代資料處理系統中之互連 結構通常採用提供通訊之多個分離通道之分開交易協 疋。舉例而言,由英國劍橋之ARM有限公司(arm Limited,
Cambndge’UnitedKingd〇m)開發的Αχι (先進的可延伸介 面)協疋提供數個通道,經由該等通道可傳送資訊及資 料,該等通道包含:用於載送讀取交易之位址傳送之讀 取位址通道、用於载送寫入交易之位址傳送之寫入位址 通道、用於載送寫入交易之資料傳送之寫入資料通道、 用於載送讀取交易之資料傳送之讀取資料通道,及用於 在寫入交易結束時將交易狀態資訊返回至主裝置之寫入 曰應通道’此交易狀態資訊指示(例如)是否成功地完 ^又易是否發生錯誤等。使用以上所述實施例之技術, 可將互連結構内的個別通道連接至不同電壓供應器。因 此,例如,藉由分佈兩個切換供應器及一個共享接地供 α。。可將互連之兩個通道置放於相同層計劃區域中, 但功率閘控是獨立的。 複數個電壓供應器可採取各種形式,列中標準晶格之 ^準仁刀隔的電壓連接區域連接至該複數個電壓供應 器。在-個實施例t,複數個Μ供應器提供多個^ 的電壓位準。或者,複數個電壓供應器可包含特定電壓 位準之非切換版本與至少—個切換版本兩者。在一個實 施例’’複數個電壓供應器可提供複數個電源供應器, :在另-實施例中’複數個電壓供應器可提供複數個接 201248443 在個特疋貰施例中,方法進一步包含以下步驟:針 對每列,提供沿著彼列移動之額外的布線軌;在每— 歹J内,將彼列中的每一標準晶格佈置成具有與該額外的 布線軌對準之額外電壓連接區域,但其中彼列中之每一 標準晶格具有酉己置成不在標準晶格<整個寬度間延伸的 飞卜電連接區域;提供複數個額外的電壓供應器;以 及在每一列内,針對該列中之每一標準晶格,慮及由彼 ‘準b曰格界定的相應功能組件之電壓要求且與列中每一 鄰近標準晶格連接至的額外電壓供應器無關,將彼標準 日曰格之額外電壓連接區域連接至複數個額外電壓供應器 中之一個額外電壓供應器。 因此,根據此等實施例,在每一標準晶格内通常在或 接近標準晶格之頂部及底部處的兩個位置處複製本發明 之技術。在一個此實施例中,複數個電壓供應器可提供 複數個電源供應器,且複數個額外電壓供應器可提供複 數個接地供應器’以使得若需要時,可將相同技術獨立 地應用於電源供應器與接地供應器兩者。 在一個實施例中,該複數個列中之至少一個列中的至 > 一個標準晶格佈置成具有與該電壓連接區域分隔但與 該電壓連接區域對準之進一步電壓連接區域,以使得該 電遷連接區域與該進-步電㈣接區域兩者皆與該布線 執對準。針對該至少-個標準晶格中之每—標準晶格, 隨後將進-步電磨連接區域連接至複數個電壓供應器中 之一個電壓供應器 晶格 °亥電廢供應器與連接至彼標準 14 201248443 之電壓連接區域的電壓供應器不同。 此舉在各種情形中有用’例如在需要操作之兩個不同 作模式的某些標準晶格中。作為特定實例,可使用兩 個不同的電壓連接區域提供由用於操作之正常模式盘操 作之保持模式兩者之保持正反器所需要的電壓供應器。 雖然在一個實施例中,此等標準晶格具有與彼此對準 且與布線軌對準之兩個電壓連接區域,但若存在空間來 提供電壓連接區域中之每連接區域之間的分隔且 用於未在標準晶格之整個寬度間延伸的電壓連接區域之 總和’則若需要時’此方法亦可延伸至多於兩個電壓連 接區域。自第二態樣來看,本發明提供一種積體電路, 该積體電路包含:複數個電壓供應器;複數個列之功能 每歹J具有列面且具有該每_列之由一相應系列 之標準晶格界定的功能組件,每_標準晶格具有對接區 二’該對接區域包含藉由列高規定的高度,及寬度,且 每-標準晶格具有該每—標準晶格之對接區域,該對接 區域對接列中至少—個鄰近標準晶格之對接區域;布線 軌,该布線軌係針對每一列提供且沿著彼相關聯列移 動;在每一列内,每一標準晶格具有與該布線軌對準之 電壓連接區域,但其中彼列中之每—標準晶格具有配置 成不在‘準aa格之整個寬度間延伸的該電壓連接區域; 以及在每-列内,針對該列中之每—標準晶格,慮及由 彼標準晶格界定的相應功能組件之㈣要求且與列令每 一鄰近標準晶格連接至的電M供應器無關,將彼標準晶 15 201248443 格之電壓連接區域連接至複數個電壓供應器中之—個電 壓供應器。 自第三態樣來看,本發明提供_種標準晶格函式庫, 該標準晶格函式庫包含複數個標準晶格,每一標準晶格 表示在積體電路上界定的列内提供的功能組件,每一標 準晶格包含:對接區域,該對接區域具有藉由積體電路 内該列之高度規定的預界定高度,及寬度,每一標準晶 格佈置成使得當該每一標準晶格佈署於該列内時,該每 -標準晶格之對接區域對接列中至少—個鄰近標準晶格 之對接區域;將在對接區域内提供的功能組件之界定; 以及电壓連接區域’該電壓連接區域定位成使得當標準 晶格佈署於列内時,該電壓連接區域與佈署於列内的其 他標準晶格中之相應電壓連接區域對準且該電壓連接區 域與沿著列通過的布線轨對準;電壓連接區域配置成不 在標準晶格之整個寬度間延伸,藉此允許當針對積體電 路提供複數個電壓供應器時,慮及由彼標準晶格界定的 相應功能組件之電壓要求且與列中每—鄰近標準晶格連 接至的電壓供應器無關,標準晶格之電壓連接區域連接 至複數個電壓供應器中之一個電壓供應器。 此等標準晶格具有電壓連接區域,該等電贱接區域 不在“準晶格之整個寬度間延伸但定位成使得當標準晶 格佈署於列内時’該等電壓連接區域與其他標準晶格中 之相應電壓連接區域對準,由於可藉由採用預先存在的 標準晶格且在標準晶格内使現有的電壓轨結構脫執以便 16 201248443 形成需要的電壓連接區域,來形成此等標準晶格,此等 標準晶格在此處將亦稱為「脫軌的(de_railed)」標準晶格。 自另一態樣來看’本發明提供一種在非暫時儲存媒體 上之電腦程式’該電腦程式提供根據本發明之第三態樣 之標準晶格函式庫。此電腦程式可在自動設計工具上執 订’該自動設計工具用以自功能資料產生積體電路佈 局,該功能資料表示電路元件及所需要的積體電路之電 路7L件之間的連接。特定言之,自動設計工具自標準晶 格函式庫選擇標準晶格且該自動設計工具將該等選定的 軚準晶格置放於一系列列中,以實施積體電路之所需要 的功能性。 自另一態樣來看,本發明提供一種積體電路,該積體 電路包含:複數個電壓供應器構件;複數 件,每—列具有列高且每-列具有該每—列之由相3 列之標準晶格構件界定的功能構件,每_標準晶格構件 具有對接區域’該對接區域包含藉由列高規定的高度, 及寬度’且每-標準晶格構件具有該每—標準晶格構件 之對接區域,該對接區域對接列中至少一個鄰近標準晶 格構件之對接區域;布線軌構件’該布線軌構件係針;; :-列提供且沿著彼相關聯列移動;在每一列内,每— 標準晶格構件具有與該布線軌構件對準 ^ :件,但其中彼列中之每一標準晶格構件具有== 件“準晶格構件之整個寬度間延伸的該·連接區域構 ’以及在每—列内,針對該財之每-標準晶格構件, 17 201248443 慮及由彼標準晶格構件界定的相應功能構件之電壓要长 且與列中每一鄰近標準晶格構件連接至的電壓供應器構 件無關,將彼標準晶格構件之電壓連接區域構件連接至 複數個電壓供應器構件中之一個電壓供應器構件。 【實施方式】 第1圖示意性地圖示積體電路佈局之部分】〇,所圖示 部分具有固定列高25之三個列。每一列包含具有固定I 位寬度30的一系列區塊2〇。標準晶格函式庫中之每— 標準晶格佈置成具有等於列高25之高度,且標準晶格函 式庫中之母一標準晶格佈置成具有寬度,該寬度可因不 同曰曰格而不同但為單位寬度3G之倍數。隨後慮及所需要 的電路元件及積體電路所需要的彼等電路元件之間的連 接,將標準晶格置放於每—列中,其中各個標準晶格佈 置成彼此對接。因此’出於說明之目的,三個標準晶格 40、5〇、60圖示為位於列中之一個列内。每一晶格具有 對,框,該對接框之高度等於列高,㈣對接框之寬度 為單位寬度30之倍數’且當置放至特定列中時,標準晶 格之對接框對接鄰近標準晶格之對接框。因此,在第i 圖中’標準晶格50之對接框對接標準晶格4〇及6〇之對 接框。 第 2A圖不意性地圖示根據一個實施例之標準晶格 在對接框1 00内’將界定由標準晶 通常’功能組件之組成部分經約束 格表示的功能組件。 以用實體方式位於在 18 201248443 對接框1GG内提供的功能組件邊界110内,以確保當另 -標準晶格對接標準晶格而置放時,將不發生每一標準 晶格之元件之間的任何非所要之相互作用。實際上,根 據預定設計規則,標準晶格内的不同S件(例如,多晶 夕金屬等)將具有距對接框邊界之不同的最小距離, 且因此功能組件邊界11G將取決於在考慮之中的元件而 改變。 根據第2A圖中所不之實施例,與通常將具有在標準 曰曰格之頂部及底部處的整個寬度間延伸的軌之先前技術 標準晶格相反,此實施例的標準晶格具有用於電壓供應 連接之插腳區域!2G (在此處亦稱為電壓連接區域)及 在標準晶格的相對側亦用於電壓供應連接之相應插腳區 域130。此等插腳區域12〇、13〇之定位經佈置成以便標 準晶格函式庫中的所有標準晶格具有此等插腳區域,該 等插腳區域彼此對準且尤其與將在每一列内提供的相應 布線執對準。因此’當沿著列以對接佈置置放—系列此 等仏準日日格時’將看到在每—標準晶格中提供分離的電 堅連接區域120、130,該等電壓連接區域12〇、13〇彼 此對準但不對接,而非提供沿著系列標準晶格之頂部及 底。P之相連執。當決定向每—標準晶格提供哪個電屋供 應器時,此舉提供經顯著改良的撓性。 。。特定言之’在置放及佈、線製程_,至特定電壓供應 =之連接可以逐個晶格為基礎進行,以便向列中之一個 標準晶格提供的電壓供應可獨立於向列中之鄰近標準晶 19 201248443 格提供的電壓供應器而選擇。 通常,電麗連接區域120中之—個電麗連接區域 將用以提供電源供應器連接,而另-電壓連接區域130 將用以提供接地供應器連接。 若需要,則在置放及佈線製程期間,可將插腳區域 120、13G中之—者或兩者延伸,以便若需要時提供在整 個寬度間延伸之軌。舉例而言,若電麼連接區域120、 130兩者針對列中之所有晶格皆以此方式延伸,則此舉 提供與標準佈置之㈣性,從而將設計返回至具有在列 ,整個頂部及底部間延伸的執之設計。此外,在一些實 Η中彳延伸電壓連接區域中之—個電壓連接區域, 而留下另—電壓連接區域,如第2Α圖中所示。例如, 在其中希望提供複數個不同的電源供應器源,但其中希 望列中之所有標準晶格共享共用的接地供應器之情況 可使用此方去。因此’在此等實施例中在仍提供 =描述的關於電源供應器之連接至每一標準晶格之撓 日守’接地供應器連接區域13〇可在置放及佈線製程期 伸以著列在標準晶格中之每一標 個寬度間延伸,來提供共用接地轨。 第⑼圖圖示替代性實施例,其中在單—標準晶格内 與供彼此對準的兩個單獨的電壓連接區域140、150。此 ::在需要兩個不同操作模式之操作的某些標準晶格中 例如4呆持正反器將具有正常操作模式,盆中 反器藉由主電源供應器電壓驅動,在此實例中該主電 20 201248443 源供應器電壓可連接至電壓連接區域丨5〇。然而,在保 持操作模式中,將正反器内的元件之子集連接至輔助電 壓供應器,如例如可經由連接區域140提供該輔助電壓 供應器,而其餘元件關閉。在典型的先前技術設計中, 在轨於標準晶格之頂部及底部處的整個寬度間延伸之情 況下,可將主電壓連接至電源供應器轨,但通常將需要 提供彼軌之單獨的板内專用連接插腳,以允許供應保持 電壓。然而,如可自第2B圖之實施例看出,可自在晶 格内彼此對準且亦沿著列與所有其他標準晶格中之相應 插腳區域對準的插腳區域連接所有必要的供應電壓,其 中在置放及佈線製程期間個別插腳區域耦接至需要的電 壓供應器。此舉提供更加均勻之設計,同時在置放及佈 線製程期間支援十分可撓的電壓供應器選擇。 通常將在金屬-層内提供第2八圖及請圖中所示 電壓連接區域120、130、140、ιςΛ 斗/v ρ 140、150 ,該金屬一層通常為 由標準晶格提供的頂層。第3圖提供兩個鄰近列之部分 之金屬-層的視圖’且尤其圖示在第一列中提供的標準 晶格200、210、220及在鄰近列中提供的標準晶格23〇、 24〇、25卜根據此實施例’在鄰近列之間提供間隙,以 允許在金屬—層中提供金屬軌270用於提供料電壓供 應器,在此實例中此電壓供應器被稱》聊2。在一個 實施例中’為支援經由此電壓供應器之所需要的電流, 金屬執謂將實際上藉由—系列通路㈣至金屬二層中 之覆蓋執或功率網。 21 201248443 如第3圖中所示,標準晶格2〇〇、21〇、22〇中之每一 者具有用於連接至電源供應器之相應電壓連接區域 205、215、225,且類似地(使用標準反向佈置)標準晶 格23 0、240、250亦具有用於連接至電源供應器之相應 電壓連接區域235、245、255。電壓連接區域2〇5、215、 225與第一列中之布線軌227對準,且電壓連接區域 235、245、255與第二列中之布線轨257對準。在金屬 一層中,直接在布線軌227、257中之每一者上方提供軌 或功率網,以供應電源供應器(在此實例中為VDm), 該電源供應器不同於由金屬轨27〇提供的電源供應器。 將理解,在典型設計中,將存在經由設計(形成布線 轨之柵格)以水平及垂直兩種方向移動的許多布線轨, 但為便於在第3圖中說明,僅指示兩個布線轨227、257。 已以第3圖中所示之方式佈局標準晶格,慮及由彼標 準晶格界定的相應功能組件之電壓要求,可在置放及佈 線製程期間作出關於向每—標準晶格之電壓連接區域中 的每一電壓連接區域提供哪個電源供應器之決策。因 此,在此實例中,將電壓連接區域225、235、255中之 每-者分別經由相應導電連接3(在此處亦稱為結) 262、260、264連接至金屬轨27〇,以便向彼等電壓連接 區域提供電源供應器VDDh相反,電壓連接區域2〇5、 215、245藉由以通路310之形式的導電連接器連接至提 供VDD1的金屬二層中之覆蓋功率網。 雖然經提供用於連接至接地供應器的每一標準晶格之 22 201248443 相應電壓連接區域以交叉影線圖示於諸圖中,但在此實 例中,在佈局製程期間’將金屬一層延伸以便在第一列 中形成相連金屬一層280且在第二列中形成相連金屬層 290。此等相連金屬層與鄰近列中之相應的相連金屬層 285、295對接’其中轨280、285共同地形成接地轨, 且軌290、295共同地形成接地轨。 第4A圖為沿第3圖中之線a_a之立面圊。可看出, 電壓連接區域215經由通路310連接至提供電壓供應器 VDD1之覆蓋金屬二執30(μ電壓連接區域215未連接至 金屬一軌270,但區塊215與區塊27〇之間的虛線指示 更遠的結262,該結262將該金屬一軌270連接至電壓 連接區域225,該電壓連接區域225在該電壓連接區域 2 1 5後面。 此佈置更清楚地圖示於第4Β圖之3D透視圖中,在第 4Β圖之該3D透視圖中’清楚地圖示兩個金屬通路,該 兩個金屬通路將電壓連接區域215與金屬二軌3〇〇連 接,且亦在該圖中圖示位於金屬一軌27〇與功率連接區 域225之間的結262。 雖然非必需,但如較早論述的,在一個實施例中,於 金屬一執270上方亦將存在相應的金屬二軌,該金屬一 軌270經由一系列通路連接至彼金屬一軌。為簡單起 見’在第4Α圖及第4Β圖中,已省略此覆蓋金屬二層。 第5圖圖示使用較早描述的技術建構之實際佈局之部 分。特定言之’ 一個列含有標準晶格425、430 ' 435、 23 201248443 440、445,而鄰近列提供標準晶格4〇〇、4〇5、41〇、415 、 42〇。在列之間提供介入金屬一層45〇,該介入金屬一層 450藉由通路452之序列連接至覆蓋金屬二層。金屬一 層450及該金屬一層450之覆蓋金屬二層共同提供一電 壓供應器,且在此實例中,標準晶格425、43 5、440、 445 ' 410及420經由金屬一結455連接至此電壓供應 器。相反’晶格43〇、4〇〇、4〇5及川使該等晶格43〇、 400、405及415之功率連接區域藉由通路46〇耦接至提 供不同電壓供應器之覆蓋金屬二軌。 將瞭解,以上所述技術提供關於如何將列内的各個標 準晶格連接至電壓供應器之很大撓性。此撓性藉由顯^ 地放鬆約束,來在電路佈局設計之許多區域中提供顯著 的益處,當將標準晶格定位於列内時該等約束將根據設 計及佈局工具以其他方式置放。 傳統上難以向之提供專用電源供應器的一個類型之結 構為互連結構,該互連結構包含複數個互連組件,該複 數個互連組件理想地需要以分佈方式置放於積體電路 間’以滿足互連路徑之時序約束q 6圖圖示此互連路 經500’該互連路徑5〇〇由一或更多個列之第_組互連 Μ 510、另外—或更多個列之第二組互連組件520及 類似地 < 更多個列之第三組互連組件組成,該第 互連、,且件520 if常與該第一組互連組件間隔許多 列’該第三組互連組件別通常與該第二組互連組件WO 分隔許多列。數個電線將通常在介入區域“Ο、”"的 24 201248443 互連組件510、520、530之各個區塊之間移動。互連組 件5 10、52〇、53〇可採取各種形式,例如,暫存器、緩 衝器等之形式。 在典型的先前技術系統中,若希望向形成互連路徑 5〇〇之至少一個通道的互連組件提供(例如)特定切換 供應器,則將通常有必要在可具備需要的電壓供應器之 單塊電壓區域中將所需要的組件分組在一起。然而,由 於若互連組件之區塊之間的實體分隔太大’則難以滿足 經由互連傳遞的訊號之時序要求,故對於互連之實例而 言,如此做通常是不切實際的。然而,當使用以上所述 技術時,移除此等約束,且實情為,純粹慮及時序約束, 可將互連組件510、520、530置放於積體電路之列内, 同時儘管彼等互連組件分佈於彼此相距甚遠的各個列 間’但仍能夠功率閘控互連路徑500。特定言之,由於 經由使用所述實施例之電壓連接區域,可解聚功率域, 故可將互連組件與使用其他功率域之組件成列置放,且 特定言之,可將列内的個別組件連接至完全不同於向列 内其他組件提供的電源供應器之電源供應器。 第7圖為圖示所述實施例的標準晶格仍如何支援與使 用功率軌及接地軌之標準設計的反向相容性之圖。特定 吕之’第7圖圖示在兩個鄰近列中之與第3圖中所示標 準晶格系列相同的標準晶格系列,但在此實例中不需 要介入功率軌270,且因此不需要鄰近列之間的間隙。 實情為,在佈局製程期間,結合電壓連接區域2〇5、215、 25 201248443 2 2 5 λ 23 5 245、255,藉由置放及佈線製程添加額外的 金屬—區域 600、605、61〇、615、620、625,以形成相 連力率轨640。接地轨28〇、285之形成與接地軌29〇、 295之形成以完全相同的方式發生。 因此’若提供諸如第2Α圖及第2Β圖中所示的標準晶 格之脫轨標準晶格之函式庫,則仍可能提供與傳統設計 -致的佈局’在該等傳統設計中,在列内提供相連功率 軌及接地軌。然而,如較早描述的,可使用於電源供應 器及接地之電壓連接區域中之―者或兩者保留脫軌,以 促進參閱先前諸圖所論述的電壓供應器之可撓連接。 在第3圖之實例中,提供兩個單獨的電源供應器,且 至彼等兩個不同電源供應器之連接可藉由Ml層中之結 或者藉由M2層中之通路發生,亦即,藉由沿彼此垂直 的方向延伸之導電連接器來發生。在一些實施例中,可 能希望提供用於選擇性地連接至個別電壓連接區域之多 於兩個電壓供應器。在一實施例中,可藉由在鄰近列之 間的間隙中延伸之軌來提供所有此等電壓供應器,但通 常由於空間交文率的原、目,將希望#由直接覆蓋電塵連接 區域之金屬二軌來提供彼等電壓供應器中之一個電壓供 應器。 第8圖ffi tf —個實施例,其中除覆蓋標準晶格7〇〇、 710、720之電壓連接區域7〇5、715、725且覆蓋標準晶 格730、740、750之連接區域735、745、755的金屬二 執之外,在列之間的間隙中之金屬二層中提供複數個進 26 201248443 一步電壓供應器軌760、77〇。為連接至此等不同的電壓 軌760 770,提供導電連接器,該等導電連接器至少部 分地使用金屬一層中之結,但該等導電連接器額外需要 通路來最終連接至有關的金屬二層。因此,藉由金屬一 結737及通路762,將電壓連接區域735連接至金屬二 執760。類似地,藉由金屬一結717及通路764,將電壓 連接區域715連接至金屬二軌76〇。此外,藉由金屬一 結727及通路772將電壓連接區域725連接至金屬二轨 770’而亦藉由金屬一結757及通路774將電壓連接區域 755連接至金屬二轨77〇。相反,僅僅藉由使用通路几7、 747’來將電壓連接區域7〇5及%連接至覆蓋金屬二電 壓:應器。為'文良载流容量’在必要時可使用多個通路。 當裝置尺寸幾何結構已在積體電路内減小時,產生的 員著問題為由由於洩漏電流之功率消耗。此等洩漏電流 甚至當積體電路處於保存模式時產生,在該保存模式 中,不執行主動資料處理。為解決_流之問題,已 知利用功率閘控。使用以上所述技術,存在選擇將任何 個別標準晶格i表垃 ^ 連接至功率閘控電壓供應器還是連接至永 遠開啟的電懕供庙/ 私&仏應斋之很大的撓性。 *广1力率閘控期間發生的一個問題為再啟動處理 化:的蛉間可忐相對較大’如此是由於在可再啟動 理,月1j e與電源供應器隔離的積體電路之部分内的Ί 號節點及功率/却站^ & ^ 0唬線將需要再充電至該等訊號節點, 功率/訊號線之起私# 。位準。此外,可能存在諸如急劇短注 27 201248443 電流(crowbar current)之問題,在該等急劇短路電流中, 藉由閘沿相反方向拉引單一節點直至到達合適的起始狀 態為止,而消耗大量功率。 . 使用功率閘控處理積體電路内的此等問題之—個方式 為提供所謂的「曲折」功率閘控。曲折功率閘控為茂漏 減輕技術,在該洩漏減輕技術中將預定邏輯狀態(靜止 向量)以保存模式應用於設計,且隨後使用分佈式功率 閘將閘之所有洩漏的上拉/下拉堆疊與電源供應器或接 地供應器中之一者斷開,當訊號節點仍然連接至適當的 功率軌(功率或者接地),但將茂漏的上拉或下拉堆2與 功率執令之一個功率軌斷開,從而減少經由堆疊之洩漏 時,唬節點維持在該等訊號節點之固有值。由於維持 邏輯位準,故此舉允許較快的接通時間。此外,因為不 需要節點或訊號電容之任何充電或放電且不產生任何急 劇短路電流,所以減少了在啟動之後的功率消耗。 當採用以上所述的使用脫執標準晶格之技術時,可較 容易地支援此曲折功率閘控。特定言之,藉由分佈切換 電源及接地及永遠開啟的電源及接地,有可能在用於特 定猙止狀態之設計中最佳化功率連接至每一晶格,在該 特疋靜止狀態下切斷洩漏路徑但維持邏輯位準。第9圖 不思性地圖不此方法,在該方法中,鄰近列83〇、84〇 之間的金屬一轨分別提供永遠開啟的電源供應器及永遠 開啟的接地供應器’而與電壓連接區域8〇2、812、822 對準的覆蓋金屬二軌提供切換電源供應器,且覆蓋電壓 28 201248443 連接區域806、816、826之金屬二轨提供切換接地供應 器。可看出,標準晶格800及標準晶格820可佈置成使 5亥專標準晶格800及標準晶格820之電壓連接i域 8〇2、822連接至永遠開啟的電源供應器,而該等標準晶 格800及標準晶格820之其他電壓連接區域8〇6、gw 連接至切換接地供應器。相反,標準晶格81〇使該標準 晶格810之電壓連接區域812藉由通路814連接至切換 電源供應器’而該標準晶格810之另一電壓連接區域816 藉由金屬一結818連接至由金屬一轨840提供的永遠開 啟的接地供應器。 將瞭解,在第9圖中,若需要時可交換永遠開啟的供 應器與切換供應器,以便金屬一軌83 〇、84〇提供切換供 應器,且在電壓連接區域上方對準的金屬二層提供永遠 開啟的電源供應器及接地供應器。亦將瞭解,由於需要 如何連接每一個別晶格將實際上取決於晶格如何電氣連 接至彼此,故不需要如第9圖中所示之每一鄰近標準晶 格之間的連接之嚴格的交替。 第10圖為圖示根據以上所述技術產生積體電路佈局 之方法的流程圖。首先,纟步驟請處,通常以網路連 線表之形式輸入功能資料,該功能資料表示經計劃積體 電路之電路元件&電路元件之間的連接。#著在步驟 855處,輸入晶格函式庫,晶格函式庫界定較早論述的 複數個脫軌標準晶格。 在步驟860處,取決於功能資料(網路連線表)而產 29 201248443 生標準晶格之置放,以便將 步驟865處,在置放及佈線 格界定的相應功能組件之電 連接至的電壓供應器執無關 壓供應裔執。隨後,在步驟 路佈局。輸出此產生的積體 但該產生的積體電路佈局通 錄為佈局資料。 晶格置放於列之序列中。在 製程期間,慮及由彼標準晶 壓要求,且與列中鄰近晶格 ,將每一標準晶格連接至電 87〇處,輸出產生的積體電 電路佈局可採取各種形式, 常將在電腦可讀取媒體上記 在步驟8 7 0處輸出的佈月眘袓 ]P局貝枓可視為在步驟850處輸 入的積體電路設計之功率威知 干A知、..罔路連線表*在步驟875 處’通常將執行標準多電壓形式 % i Try八鳅4測試,以確保在步 驟860及步驟865期間勃;^干的罢4 n丄 刀間轨仃的置放及佈線製程未引入任 何意外的異常 田使用以上所述實施例之脫轨標準晶格 產生積體電路設計時,此給蛾半_ π ^此驗。且步驟可使用與用於該設計 中的傳統(「非脫軌丨)撣乘日坆s + /+ λ wJ 早日日格原本使用之標準驗證測 試相同的標準驗證測試。 如由虛線框880所示,製程可根據在步驟87〇處輸出 的佈局,隨後繼續積體電路之製造。 第11圖示意性地圖示可用以實施以上所述技術且尤 其產生積體電路佈局之類型之通用電腦900。通用電腦 900包括t央處理單元902、隨機存取記憶體9〇4、唯讀 記憶體906、網路介面卡908、硬碟機910、顯示驅動器 912及監控器914及具有鍵盤918及滑鼠92〇之使用者 輸入/輸出電路916,該等組件全部經由公用匯流排922 30 201248443 連接。在操作中,中央處理單元9〇2將執行電腦程式指 令,該等電腦程式指令可儲存於隨機存取記憶體9〇4、 唯讀記憶體906及硬碟機91〇中之—或更多者t > ^ 等電腦程式指令可經由網路介面卡9G8動態地下載。所 執行之處理的結果可經由顯示驅動器912及監控器 向使用者顯示。可經由使用者輸入/輸出電路916自鍵盤 918或滑鼠mo接收用於控制通用電腦9〇〇之操作的使 用者輸人。應瞭解’可以各種不同電腦語言寫電腦程式。 電腦程式可儲存且分佈於記錄媒體上或電腦程式可動態 地下載至通用電腦900。當在適當的電腦程式之控制之 :操作時’通用電腦_可執行以上所述技術且通用電 細900可視為形成用於執行以上所述技術之設備。通用 電腦900之架構可顯著改變,且第U圖僅為一個實例。 將自以上所述實施例瞭解,使用所述脫軌標準晶格提 供關於在積體電路内標準晶格之置放之經顯著改良的棱 性’同時允許獨iL於向財鄰近標準晶格提供的電壓供 應器’而將需要的電壓供應器耦接至每一標準晶格。除 t論述的特定實例之外,在-個實施例中,技術可用以 提供某些組件之選擇性的過驅動。特定言之,僅可能的 關鍵路上之彼等標準晶格可為電壓定標的,而非將電 壓增加至高效能模式之整個設計。反之,可將非關鍵晶 格連接至較低的供應電壓。如另—實例,二次電網可保 留用於關鍵路徑上之標準晶格,而所有其他標準晶格連 主要雜。fL的電網。此方法將減少供應跳動且此方 31 201248443 法可允+關鍵路徑上的邊限隊 旧遭限降低及/或較高參數產生。此 方法亦將限制使用巾貴的晶片上調壓器。 雖然以上所述技術通常將具有對區域之輕微的不利效 應’但歸因於需要在鄰近列之間提供空間,以接受介入 功率執,已發現此等技術可顯著地減少功率消耗,該功 率消耗正成為現代資料處理系統中愈來愈顯著的約束。 此外’如已參閱第7圖較早描述的,若區域為特定設計 之顯著問題(例如,歸因於臨界電線長度),則使用脫軌 標準晶格架構不會防止形成傳統的基於軌之晶格列。兩 種方法之混合甚至可用於晶片上系統之不同部分。 儘管本文已描述特定實施{列,但將瞭解,纟發明並非 限於該等特定實施例,且可在本發明之料内向該等特 定實施例作出許多修改及添加。舉例而言,可在不脫離 本發明之範,之情況下’進行以下從屬項之特徵結構與 獨立項之特徵結構的各種組合。 【圖式簡單說明】 將僅以舉例之方式,參閱如在隨附圖式中所圖示之本 發明之實施例來進一步描述本發明,在該等隨附圖式中: 第1圖為示意性地圖示在產生積體電路佈局之製程期 間如何在列内置放標準晶格之圖; 第2A圖示意性地圖示根據一個實施例之標準晶格· 第2B圖示意性地圖示根據替代性實施例之標準晶格. 第3圖圖示根據一個實施例,佈置於兩個鄰近列中的 32 201248443 標準晶格如何佈置成具有該等標準晶格之電壓連接區 域’該等電壓連接區域耦接至不同電壓供應器; 第4A圖為沿第3圖之線a-A之立面圖; 第4®圖為沿第3圖之線A-A之3D透視圖; 第5圖圖示根據一個實施例之各自具有電壓連接區域 的標準晶格之兩個列; 第6圖不.¾•性地圖示根據·一個實施例,互連路徑之互 連組件可如何在積體電路間分佈; 第7圖圖示—個實施例之標準晶格可如何用以在晶格 之每一列具有連續功率軌及連續接地軌的情況下支援反 向相容性; 第8圖圖示將多個介入電壓軌置放於兩個鄰近列之間 的間隙中之一個實施例; 第9圖圖示一個實施例,其中提供電源供應器與接地 供應器兩者之切換版本與永遠開啟版本兩者,且個別晶 格連接至選疋的功率供應器及接地供應器,而與向鄰近 晶格提供的功率供應器及接地供應器無關; 第10圖為圖示根據一個實施例之方法之流程圖;以及 第11圖示意性地圖示可用以實施本發明技術之類型 之通用電腦。 【主要元件符號說明】 10 積體電路佈局之部分2〇 區塊 33 201248443 40 ^準晶格 60 才示準晶格 110 功能組件邊界 50 標準晶格 】〇〇 對接框 120 插腳區域/電壓連接區 域 130 插腳區域/電壓連接 域 區140 電壓連接區域 150 電壓連接區域 200 標準晶格 205 電壓連接區域 210 標準晶格 215 電壓連接區域 220 標準晶格 225 電壓連接區域 227 布線轨 230 標準晶格 235 電壓連接區域 240 k準晶格 245 電壓連接區域 250 才準晶格 255 電壓連接區域 257 布線轨 260 導電連接器 262 導電連接器 264 導電連接器 270 金屬轨 280 相連金屬一層/接地執 285 相連金屬層/接地轨 290 相連金屬層/接地轨 295 相連金屬層/接地軌 300 覆蓋金屬二軌 310 通路 400 標準晶格 405 k準晶格 410 標準晶格 415 標準晶格 420 標準晶格 425 標準晶袼 430 標準晶格 435 才示準晶格 440 標準晶格 445 標準晶格 450 34 介入金屬一層 201248443 452 通路 455 金屬一結 460 通路 500 互連路徑 510 第一組互連組件 520 第二組互連組件 530 第三組互連組件 540 介入區域 550 介入區域 600 金屬一區域 605 金屬一區域 610 金屬一區域 615 金屬一區域 620 金屬一區域 625 金屬一區域 640 相連功率軌 700 標準晶格 705 電壓連接區域 707 通路 710 標準晶格 715 電壓連接區域 717 金屬一結 720 標準晶格 725 電壓連接區域 727 金屬一結 730 標準晶格 735 電壓連接區域 737 金屬一結 740 標準晶格 745 連接區域 747 通路 750 標準晶格 755 電壓連接區域 757 金屬一結 760 金屬二軌 762 通路 764 通路 770 金屬二轨 772 通路 774 通路 800 標準晶格 802 電壓連接區域 806 電壓連接區域 810 標準晶格 812 電壓連接區域 814 通路 816 電壓連接區域 818 金屬一結 35 201248443 820 標準晶格 822 電壓連接區域 826 電壓連接區域 830 金屬一轨 840 金屬一軌 850 步驟 855 步驟 860 步驟 865 步驟 870 步驟 875 步驟 880 虛線框 900 通用電腦 902 中央處理單元 904 隨機存取記憶體 906 唯讀記憶體 908 網路介面卡 910 硬碟機 912 顯示驅動器 914 監控器 916 使用者輸入/輸出電路 918 鍵盤 920 滑鼠 922 公用匯流排 VDD1 電源供應器 VDD2電源供應器 36

Claims (1)

  1. 201248443 七、申請專利範圍·· =生-積體電路之一佈局之以,該方法包含以下 1. 之步驟 形成複數個列,在該;^ # Μ X, t 彳在4硬數個列内提供該積體電路之功能組 件,每一列具有一預定列高; 提供一標準晶格函式庫,該標θ 通知早日日格函式庫内的每一標準 晶格界定一相應功能組件, +日日格具有一對接區 域,該對接區域包含藉由該列高規定的_高纟,及一寬 用取決於該積體電路所需要的笙 π ^要的忒荨功旎組件選擇之複數個 標準晶格填充每一列,每一桿準曰 知早日日格具有該每一標準晶 格之對接區域,該對接區域掛接兮而丨tb S , J饮(_螂耵按„豕列中至少一個鄰近標 準晶格之該對接區域; 針對每一列,提供沿著彼列移動之一布線執; 在每,,將彼列中的每一標準晶格佈置成具有與該布 線執對準之-電壓連接區域,但其中彼列中之每一標準 晶格具有配置成不在該標準晶格之該整個寬度間延伸的 該電壓連接區域; 提供複數個電壓供應器;以及 在每一列内,針對該列中之每一標準晶格,慮及由彼標準 晶格界定的該相應功能組件之一電壓要求且與該列中每 鄰近&準晶袼連接至的該電壓供應器無關,將彼標準 曰a格之S亥電壓連接區域連接至該複數個電壓供應器中之 37 201248443 一個電壓供應器。 2.如請求項1所述之方法,該方法進一步包含以下步驟: 提供複數個導電連接器配置,每一導電連接器配置具有來 自該複數個電壓供應器之一相關聯電壓供應器且促進該 電壓連接區域與該相關聯電壓供應器之間的一電壓連 接;以及 針對該列中之每一標準晶格,該連接步驟包含以下步驟: 決定連接至哪個電壓供應器,且使用該相關聯導電連接 器配置來提供該電壓連接區域與該經決定電壓供應器之 間的該電壓連接。 3.如請求項2所述之方法,其中: 該複數個電壓供應器中之-個電壓供應器定位成使得該相 關聯導電連接器配置之使用|生在―第―方向上在Μ 壓連接區域與該一個電壓供應器之間延伸之一導電連接 器;以及 其中5亥第一方 該複數個電壓供應器中之至 使得該相關聯導電連接器 第二方向上在該電壓連接 延伸之一導電連接器,該 4·如凊求項3所述之方法 接區域界定的一平面。 少一個其他電壓供應器定位成 配置之使用產生至少部分在一 區域與彼其他電壓供應器之間 第二方向與該第一方向正交。 向垂直於由該對 38 201248443 5. 如請求項丨所述之方法,其中每一標準晶格界定複數個 層,該複數個層包括一第一金屬層,且在該第一金屬層 中提供該電壓連接區域,該方法進—步包含以下步驟: 在該複數個列之一第一列與一第二列之間的一間隙中之該 第一金屬層中提供一介入電壓執; 將來自該複數個電壓供應器之一第一電壓供應器耦接至.該 介入電壓執;以及 在該連接步驟期間,藉由將一導電連接器置放於彼電壓連 接區域與該介入電壓軌之間的該第一金屬層中,來將一 標準晶格之該電壓連接區域連接至該第一電壓供應器。 6. 如請求項5所述之方法,該方法進一步包含以下步驟: 在與該第一金屬層分隔的一第二金屬層中,在垂直於由該 對接區域界定的一平面之一深度方向上提供來自該複數 個電壓供應器之一第二電壓供應器;以及 在該連接步驟期間,藉由將一導電連接器置放於該第一金 屬層中的該電壓連接區域與該第二金屬層中的該第二電 壓供應器之間,來將一標準晶格之該電壓連接區域連接 至該第二電壓供應器。 7. 如請求項6所述之方法,該方法進一步包含以下步驟: 藉由在與該布線軌對準之㈣二金屬層中移動的_電壓供 應器接線,來提供該第二供應電壓;以及 39 201248443 在該連接步驟期間,建立少一 芏少個通路,以在該第一金屬 層中㈣電壓連接區域與該第二金屬層中的該第二電壓 供應器之間提供該導電連接器。 8·如請求項1所狀枝,以每―標準晶格界定複數個 層’該複數個層包括—第一金屬層,且在該第一金屬層 中提供該電壓連接區域,該方法進一步包含以下步驟: 在與該第-金屬層分隔的-第二金屬層中,在垂直於由該 對接區域界定的-平面之1度方向上提供複數個介入 電壓軌,該複數個介入電壓轨定位於該複數個列之一第 一列與一第二列之間的一間隙中; 將=自該複數個電Μ供應器之—不同電壓供應器耗接至該 每一介入電壓軌;以及 在該連接步驟期間,藉由使用一導電連接器,來將一標準 晶格之該電壓連接區域連接至該等介入電壓軌中之一個 介入電壓軌’該導電連接器在該第—金屬層中部分地延 伸至該第一列與該第二列之間的該間隙中。 9.如請求項1所述之方法,該方法進一步包含以下步驟: 在該等列中之多個列間提供複數個標準晶格, 牧成積體 電路内共同地實施一互連結構之至少一個通道.以及 將實施該至少一個通道的彼等標準晶格中之每— ^ 彳示準晶格 連接至來自該複數個電壓供應器之相同電壓供應器。 40 201248443 月求項1所述之方法,其中該複數個電壓供應器提供 多個不同的電壓位準。 士明求項1所述之方法’其中該複數個電壓供應器包含 特义電壓I準之一非切換版本與至少一個切換版本兩 者0 12. 如味求項i所述之方法,其中該複數個電壓供應器提供 複數個電源供應器與複數個接地供應器中之一者。 13. 如請求項【所述之方法’該方法進一步包含以下步驟: 針對每-列,提供沿著彼列移動之—額外的布線軌; 在每一列内,將彼列中的每一桿準a 知+日日格佈置成具有與該額 外布知執對準之一額外電壓遠祖p — 1电&逆接區域,但其中彼列中之 每一標準晶格具有配置成 λ个在。亥铋準晶格之該整個寬度 間延伸的該額外電壓連接區域; 提供複數個額外的電壓供應器;以及 在每一列内,針對該列中每一 a ^ 甘知旱日日格,慮及由彼標準 日日格界定的該相應功能組件 φ η· < 电Μ要求且與該列中每 卻近標準晶格連接至的#雜&命广, 的^額外電壓供應器無關,將彼 才市準日日格之該額外電壓遠 &成連接至該複數個額外電 i ί、應裔中之—個額外電壓供應器。 14.如請求項13所述之方法,1 '、中β亥複數個電壓供應器提 4] 201248443 數個接地供應器 供複數個電源供應器且該複數個額外電壓供應器提 1 5.如請求項1所述之方法,其中: 該複數個列中之至少―個列中的至少—個標準晶格佈置成 具有與該電壓連接區域分隔但與該電壓連接區域對準之 :進—步㈣連接區域’以使得該電壓連接區域與該進 步電壓連接區域兩者皆與該布線軌對準; 針對為至少—個標準晶格中之每—標準晶格,將該進一少 電壓連接區域連接至該複數個電壓供應器中之一個電壓 供應器’該電壓供應器與連接至彼標準晶格之該電壓速 接區域的該電壓供應器不同。 16·種積體電路,該積體電路包含: 複數個電壓供應器; 複數個列之功能組件,每_列具有—列高且具有該每一列 ^由—相應系列之標準晶格界定的功能組件,每—標聲 叼度’及-寬度’且每—標準晶格具有該每一標準 :格具有一對接區域,該對接區域包含藉由該列高規定 的- — 少一個鄰近 曰曰格之對接區域,該對接區域對接該 標準晶格之該對接區域; 2軌’該布隸係針對每—列提供且沿著彼聯列 移動; 每列内,每一標準晶格具有與該布線執對準之—電座 42 201248443 連接區域,但其中彼列中之每—標準晶格具有配置成不 在該標準晶格之該整個寬度間延伸的該電壓連接區域; 以及 在每一列内,針對該列中之每一標準晶格,慮及由彼標準 晶格界定的該相應功能組件之一電壓要求且與該列中每 邮近4*準晶格連接至的該電墨供應器無關,將彼標準 晶格之該電壓連接區域連接至該複數個電壓供應器中之 一個電壓供應器。 17. 一種標準晶格函式庫,該標準晶格函式庫包含複數個標 準晶格,每一標準晶格表示在一積體電路上界定的一列 内提供的一功能組件,每一標準晶格包含: 對接區域,該對接區域具有藉由該積體電路内該列之一 间度規定的一預界定高度,及一寬度,每一標準晶格佈 置成使得當該每一標準晶格佈署於該列内時,該每一標 準晶格之對接區域對接該列中至少一個鄰近標準晶格之 該對接區域; 將在该對接區域内提供的該功能組件之一界定;以及 一電壓連接區域,該電壓連接區域定位成使得當該標準晶 格佈署於該列内時,該電壓連接區域與佈署於該列内的 其他標準晶格中之相應電壓連接區域對準,且該電壓連 接區域與沿著該列通過的一布線軌對準; 該電壓連接區域配置成不在該標準晶格之該整個寬度間延 伸,藉此允許當針對該積體電路提供複數個電壓供應器 43 201248443 時’慮及由彼標準晶格界定的該相應功能組件之一電壓 要求且與該列中每-鄰近標準晶格連接至的該電壓供應 器無關’該標準晶格之該電壓連接區域連接至該複數個 電壓供應器中之一個電壓供應器。 18. 一種在一非暫時儲存媒體上之電腦程式,該電腦程式提 供如請求項17所述之—標準晶格函式庫。 19. 一種積體電路,該積體電路包含: 複數個電壓供應器構件; 複數個列之功能構件,每一 — ^ 列问且母一列具有該 :一,之由—相應系狀標準晶格構件界定的功能構 ’母-標準晶格構件具有一對接區域,該對接區域包 3藉由該列高規定的一高度,及_ 寬度,且每一標準晶 格構件具有該每一標準 再1于之對接區域,該對接區 域對接該列中至少一個鄰 、畑+ s日格構件之該對接區 域; 布=件,該布線軌構件係針對每-列提供且沿著彼相 關聯列移動; 在:m標準晶格構件具有與該切軌構件對準 ::堅連接區域構件’但其/彼列中之每-標準晶格 伸的,雷配置成不在该標準晶格構件之該整個寬度間延 令,亥電星連接區域構件;以及 在每一列内’針對該列中之 铩旱日日格構件,慮及由彼 44 201248443 標準晶格構件界定的該相應功能構件之— 該列中每一鄰近標準晶格構件連接至的該 件無關’將彼標準晶格構件之該電壓連接 至該複數個電壓供應器構件中之一個電壓 電壓要求且與 電壓供應器構 區域構件連接 供應器構件。 45
TW101111149A 2011-05-13 2012-03-29 Integrated circuit, method of generating a layout of an integrated circuit using standard cells, and a standard cell library providing such standard cells TW201248443A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/067,182 US8451026B2 (en) 2011-05-13 2011-05-13 Integrated circuit, method of generating a layout of an integrated circuit using standard cells, and a standard cell library providing such standard cells

Publications (1)

Publication Number Publication Date
TW201248443A true TW201248443A (en) 2012-12-01

Family

ID=47141493

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101111149A TW201248443A (en) 2011-05-13 2012-03-29 Integrated circuit, method of generating a layout of an integrated circuit using standard cells, and a standard cell library providing such standard cells

Country Status (2)

Country Link
US (1) US8451026B2 (zh)
TW (1) TW201248443A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI625940B (zh) * 2016-05-02 2018-06-01 台灣積體電路製造股份有限公司 正反器及積體電路
TWI732900B (zh) * 2016-07-12 2021-07-11 加拿大商Ati科技Ulc公司 實現具有延伸出單元邊界的金屬層節段的標準單元的積體電路

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9104824B1 (en) * 2013-04-30 2015-08-11 Jasper Design Automation, Inc. Power aware retention flop list analysis and modification
US8954904B1 (en) 2013-04-30 2015-02-10 Jasper Design Automation, Inc. Veryifing low power functionality through RTL transformation
US20150028940A1 (en) * 2013-07-26 2015-01-29 Mediatek Inc. Integrated circuit having at least one functional circuit block operating in multi-source power domain and related system with power management
US9026977B2 (en) * 2013-08-16 2015-05-05 Globalfoundries Inc. Power rail layout for dense standard cell library
US9449136B2 (en) * 2015-01-20 2016-09-20 Yu-Hsiang Pan Integrated circuit layout structure and method having different cell row heights with different row ratios for area optimization
US10048893B2 (en) * 2015-05-07 2018-08-14 Apple Inc. Clock/power-domain crossing circuit with asynchronous FIFO and independent transmitter and receiver sides
KR102399465B1 (ko) 2015-10-23 2022-05-18 삼성전자주식회사 로직 반도체 소자
CN108604106B (zh) * 2016-02-08 2021-06-01 科欧罗基克斯有限公司 侧信道感知的自动布局和布线
KR102458446B1 (ko) 2016-03-03 2022-10-26 삼성전자주식회사 스탠다드 셀을 포함하는 반도체 장치 및 그것의 전자 설계 자동화 방법
US10262981B2 (en) * 2016-04-29 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system for and method of forming an integrated circuit
KR102401577B1 (ko) * 2016-06-02 2022-05-24 삼성전자주식회사 집적 회로 및 표준 셀 라이브러리
KR102630392B1 (ko) * 2016-12-06 2024-01-29 삼성전자주식회사 반도체 장치, 반도체 장치의 레이아웃 설계 방법, 및 반도체 장치의 제조 방법
US11347925B2 (en) * 2017-05-01 2022-05-31 Advanced Micro Devices, Inc. Power grid architecture and optimization with EUV lithography
US11152348B2 (en) * 2017-11-28 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with mixed row heights
US11282829B2 (en) * 2017-11-28 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with mixed row heights
EP3522044B1 (en) * 2018-01-31 2021-09-01 Nxp B.V. Method of designing an integrated circuit
US11030381B2 (en) * 2019-01-16 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage analysis on semiconductor device
TWI722616B (zh) * 2019-10-23 2021-03-21 瑞昱半導體股份有限公司 電源軌設計方法、裝置及其非暫態電腦可讀取媒體
CN112749526B (zh) * 2019-10-30 2024-05-07 瑞昱半导体股份有限公司 电源轨设计方法、装置及其非瞬时计算机可读介质
US11449660B1 (en) * 2020-03-10 2022-09-20 Synopsys, Inc. Method to perform secondary-PG aware buffering in IC design flow
US11836432B2 (en) * 2020-11-06 2023-12-05 Arm Limited Cell architecture with backside power rails

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6839882B2 (en) * 2001-06-01 2005-01-04 Virtual Silicon Technology, Inc. Method and apparatus for design of integrated circuits
JP2007043049A (ja) * 2004-12-20 2007-02-15 Matsushita Electric Ind Co Ltd セル、スタンダードセル、スタンダードセル配置方法、スタンダードセルライブラリ、ならびに半導体集積回路
US7508256B2 (en) * 2005-05-13 2009-03-24 Mosaid Technologies Corporation Integrated circuit with signal bus formed by cell abutment of logic cells
JP2007103607A (ja) * 2005-10-03 2007-04-19 Matsushita Electric Ind Co Ltd スタンダードセル、半導体集積回路、半導体集積回路の設計方法、半導体集積回路の設計装置、及びスタンダードセルライブラリ
JP5528662B2 (ja) * 2007-09-18 2014-06-25 ソニー株式会社 半導体集積回路
JP2009111119A (ja) * 2007-10-30 2009-05-21 Nec Electronics Corp 半導体集積回路のレイアウト設計方法、レイアウト設計プログラム、及びレイアウト設計支援装置
JP4535136B2 (ja) * 2008-01-17 2010-09-01 ソニー株式会社 半導体集積回路、および、スイッチの配置配線方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI625940B (zh) * 2016-05-02 2018-06-01 台灣積體電路製造股份有限公司 正反器及積體電路
TWI732900B (zh) * 2016-07-12 2021-07-11 加拿大商Ati科技Ulc公司 實現具有延伸出單元邊界的金屬層節段的標準單元的積體電路

Also Published As

Publication number Publication date
US20120286858A1 (en) 2012-11-15
US8451026B2 (en) 2013-05-28

Similar Documents

Publication Publication Date Title
TW201248443A (en) Integrated circuit, method of generating a layout of an integrated circuit using standard cells, and a standard cell library providing such standard cells
US7240314B1 (en) Redundantly tied metal fill for IR-drop and layout density optimization
TWI533433B (zh) 積體電路和在此積體電路內提供靜電放電保護的方法
US8694945B2 (en) Automatic place and route method for electromigration tolerant power distribution
CN103684395B (zh) 集成电路和产生该集成电路的布图的方法
US9768111B2 (en) Mitigating electromigration effects using parallel pillars
BR112019009940B1 (pt) Módulo de circuito integrado
US7603634B2 (en) Various methods and apparatuses to preserve a logic state for a volatile latch circuit
US20040049754A1 (en) Method and apparatus for filling and connecting filler material in a layout
JP2019531602A (ja) 集積回路用の電力グリッドレイアウト設計
JP2004022877A (ja) 複数電源用スタンダードセル、自動配置配線用スタンダードセルライブラリ、電源配線方法及び半導体集積装置
TWI463346B (zh) 用於一積體電路之後佈線電源修改
US9785740B2 (en) Computer implemented system and method for modifying a layout of standard cells defining a circuit component
US7612599B2 (en) Semiconductor device
JP2005051248A (ja) 集積回路上の電力格子配置技術
TW202219808A (zh) 具有背側電力軌的單元架構
KR20160108387A (ko) 고 전압 허용 워드-라인 구동기
CN108920730A (zh) 一种集成电路及方法
JPH04116951A (ja) 半導体集積回路
CN104715100B (zh) 集成电路的方法和布局
JPH05121548A (ja) クロツク供給回路及びクロツク供給回路を有する集積回路
JP2008288559A (ja) 半導体集積回路及び半導体集積回路のレイアウト方法
KR20120127252A (ko) 집적회로, 표준 셀들을 이용하여 집적회로의 레이아웃을 생성하는 방법, 및 그러한 표준 셀들을 제공하는 표준 셀 라이브러리
JP2002124572A (ja) 自動配置配線装置及びそれを用いる配置配線方法
JP2006253498A (ja) 半導体集積回路装置