TW201246274A - Method for modifying metal cap layers in semiconductor devices - Google Patents

Method for modifying metal cap layers in semiconductor devices Download PDF

Info

Publication number
TW201246274A
TW201246274A TW101111473A TW101111473A TW201246274A TW 201246274 A TW201246274 A TW 201246274A TW 101111473 A TW101111473 A TW 101111473A TW 101111473 A TW101111473 A TW 101111473A TW 201246274 A TW201246274 A TW 201246274A
Authority
TW
Taiwan
Prior art keywords
metal
layer
gas
dielectric
cap layer
Prior art date
Application number
TW101111473A
Other languages
English (en)
Inventor
Kazuhito Tohnoe
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201246274A publication Critical patent/TW201246274A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

201246274 六、發明說明: 【發明所屬之技術領域】 =^發=侧於半導體處理解導體裝置,尤謂於在半導 銅)金屬化中修改金屬頂蓋層之方法,用以改善主體 U金屬中之電子遷移(ΕΜ)與應力遷移(SM)。 【先前技術】 置與複ί傳導金屬通道,該t /、私刀至平導體裝置、以及使這此半導體梦晋公古 部’使用金屬間或層間介電層將金: Sift 金屬間或層間介電層使金屬層互相隔離。 ϊ二八須形成與至少—額外金屬層接觸之電氣接點。 屬層之層間介電質中钮刻孔(即貫孔㈣)、以及使用 ^屬条真iff生之貫孔以產生内連線來達成這類電氣接點。「貫 ΐ 表在介電層内形成之任何凹陷特徵部(例如:孔)、線路、 徵部,當貫孔被金屬填充時,其穿過介電層而提供 ,到導電層(位於介電層下方)之電氣連接。同樣地,連二 上貝孔之凹陷特徵部通常稱為溝槽(trench)。 =003]在用來製造積體電路之多層金屬化方法中使用c ,生,個必須解決之問題。舉例來說:Cu原子在介電材料與別 移祕會導致CU軒遷移卿些材射,藉此形成^損壞 =====因此,CU金屬層、Cu填充溝槽、以曰及Cu f充貝孔通常被障壁層所包裹,以防止❹原子擴散 =。障壁層通常在Qi沉積之前沉躲溝槽與貫孔趣與底部1, 並且可以包含在材料’該材料最好不易反應且不互溶於& 揾 供對介電材料之良好黏合性、以及可以提供低電阻性。' [00=]由於降低最小特徵部尺寸,各連續技術節點之積體 内連線中之電流密度明顯地增加。因為電子遷 SM)c之度成反比,碰與SM已迅速
戰。CU雙重金屬鑲嵌内連線結構(Cudualdamasceneinterc(H
S 201246274 期強f、,決於在主體cu金屬麵材料 t 傳运,其與這些介面之黏合性有直接關聯。提 用:5佳™生命期之新材料已廣泛地被研究。舉例 /吏^無电電鐘技術將銘鶴鱗(C〇WP)層選擇性沉積在主體 ^卿與主體Cu金屬之介面具有較好的黏合強度, ^選摆然而’維持對主體Cu金屬之可接受沉 ,、已參塑此密間距之Cu配線)、以及維持良好之膜均勻 理牛驟G \^雜衣程之可接受性。此外’使醜性溶液之濕處 理步驟對c〇wp之使用為有害的。 H新方法以碱含金狀頂蓋層,該含金屬之頂 屬之良好黏合性、以及主體Cu金屬之改善腿 【發明内容】 提,—種在Cu金屬化中形成具有修改金屬 六Cctf财置之方法’以改善裝置中之電子遷移(EM)斑岸、 (ίί、夕或其^金金屬頂蓋層可以包含釕(RU)、錢⑽)、_)、愈 —實酬’提供—鮮瓣置之形成方法。 低介電^低H一· ^旦主化之®案化基板,其包含cu金屬表面與 屬頂蓋声.以月」丨r層表面,在該^金屬表面上選擇性沉積金 t曰二乂及稭由將該金屬頂蓋層暴露到不需電漿激笋之含气 該介兩ρ:^_ίϋ、ί電層表形成介電障壁膜;以及將 該氣環境,其中當該介電障壁膜暴露到 金屬障壁膜與該修改金屬頂蓋層防止該Cu =008]根據本發明另一實施例,提供 电層表面,在該Cu金屬表面上選擇性沉積金屬頂蓋層,: 201246274 頂蓋層包含—金屬’該金屬挑選自舒㈣、鍺_、翻 带將/㈤、以及其合金,以及藉由將該金屬頂蓋層暴露到不需 電水激♦之含氨_3)氣之製程氣體來修改齡屬頂蓋層。^法 進-步包含在祕改金屬層上與該低尺介電層絲上形成含 if 障魏;以及將該介轉麵暴露職體氧化環境, =该金屬頂蓋層與齡f_獻結合厚度擔2Gnm,以及呈 ^與使用未修改金屬輕層之半導财置之職綠相比時,- 金屬頂蓋層之半導體裝置之形成方法會防 金屬表面之氧化。 【實施方式】 ΓηίΓΓΓ提供在半導體裝置之Cu金屬化中形成修改 金^頂盍層之方法’以增加金屬驢叙耐氧錄以及改 之EM與SM。熟悉相關技藝者將識別,可以在缺少一ϋ 定細節下、或制其他钱物及/_外方法、㈣、&行 ,^月。在其他實例中,不詳細顯示或描述已知結構、材‘或 =,以避免混淆本發0月各種實施例之實施態樣。同樣地,為了 解釋之目的,提出特定數量、材料、與結構以提供對本發明之全 ΐΐΐ。Ϊ*外,應當了解圖中所顯示之各種實施例為說明代表, 並且未必按比例纟會製。 書中所提及之「一實施例」表示該實施例中所 达巧之特疋特徵、結構、材料、或特性係包含於本發明之至少 例ί ’但是並不表示其⑽見在每—個實施例中。因此, ίίΐΓΓ中之不同位置所出現之「一實施例」之詞語未必代 表本發明之相同實施例。 〜 ia—id顯示根據本發明—實補之形成半導體裳置之 姻。圖1A顯示—_化基板,其包含低k介電層聊 =凹陷特徵部、凹陷特徵部中之 ί=特徵部之cu金屬層_。低k介電層誦可以包含= "電材料,其具有比Si〇2(k〜3.9)更低之介電常數,例如:氟切 201246274 玻璃(FSG ’ fluodnatedsiliconglass)、碳摻雜氧化物、聚合物、含 SiCOH之低k材料、無孔低k材料、多孔低k材料、CVD低k材 料、旋塗式介電(S〇D ’ spin-on dielectric)低k材料、或任何其他合 適之低k介電材料。舉例來說,擴散障壁層1002可以包含含鈕(Ta) 層(例如:Ta、TaC、TaN、或TaCN、或其混合)、含鈦(Ti)層(例如:
Tl、TlN、或其混合)、或含鎢(W)層(例如:w、WN、或其混合)。 ,然未顯示於圖1A中,黏合層(例如:Ru金屬層)可以位“ 徵部中之擴散障壁層1002與Cu金屬層1004之間。 ’ [〇〇19]仍麥考圖ία,平坦化圖案化基板以形成Cu金屬層表面 l〇〇4a與低k.介電層表面1〇〇〇a。凹陷特徵部之〇11填充可以 ^電鑛製程’:藉由電化學魏製程、或藉由無電電鑛製曰程). 平坦化可以藉由Cu電鑛製程之後之化學機械拋光 最ί化⑽製程,以相對於低k介電層_ &卜t 去除。平坦化胁在CU填充製程之後從圖 八土板去除夕餘之Cu金屬,並且進一步從低k介電声声而lmn =除擴散障麵歷。CMP與Cu t__f本^藝者所^ ίΓΖ製程之後,可以處理低k介電層表面_a與Cu 。g表面lGG4a,以在Cu金屬層表面1G()4a上沉積全屬頂 餘嶋輸。繼處國 12/240,894 此併入以作i/考,(與弟12/749,783號中,其内容在 [0021]在圖ιΑ中,圖案化基板可以古听 之金屬鑲如連躲構之—部分。特彳凹陷特徵部 ::“例如:……卜/:刀^寸^^以^大於或等於約 之深寬比(深度/寬度)。特徵部可以且有約 : 1 9、或更大) (例如:150mn、100nm、65· _〇ηη (^=】〇 _下 寬度。然而,本發明實施例並不受 f; 20:、或更小)之 可以制其他棘岐魏«度。根m 201246274 線結構包含圖案化基板中所形成之溝槽與貫孔。將 i m月貫施,可以應用到簡單與複雜的金屬職内連線結 ί之二特=㈣案化基板,該圖案化基板包含被c携 [Γ^Λ1β ^金屬頂蓋層獅6,_擇性沉積在cu金屬層表 屬頂蓋層1006可以包含峰u)、姥㈣、銘⑻、 其合金。使用Ru金屬頂蓋層聰作為範例,可以 J^,,、化予乳相沉積(TCVD)而在製程腔室中沉積Ru金屬頂蓋層 1006,該熱化學氣相沉積(TCVD)不需電漿激發並且使用包含 H〇)12前導物蒸氣之製程氣體與CO載送氣體。使用Ru3(c〇)〗2 刚,物與CO載送氣體之示範Ru金屬TCVD製程係描述於美國專 利第:,270,848號’其内容在此併入以作為參考。在另一範例中, 可以藉由,用釕金屬有機前導物之CVD製程來沉積金屬頂蓋層 1006二不範之釕金屬有機前導物包含(2,4_二甲基戊二烯基)(乙基環 戊二烯基)釕((Ru(DMPD)(EtCp)),(2,4-dimethylpentadienyl;) (ethylcyclopentadienyl) ruthenium))、雙(2,4-二曱基戊二烯基)釕 ((Ru(DMPD)2),bis(2,4-dimethylpentadienyl) ruthenium ) ^ 甲基戊二烯基)(甲基環戊二烯基)釕((Ru(DMPD)(MeCp)), (4-dimethylpentadienyl)(methylcyclopentadienyl) ruthenium)、與雙 (乙基環戊一烯基)釕((Ru(EtCp)2),bis(ethylcyclopentadienyl) ruthenium)、以及以上與其他前導物之混合。 [0023]在一些範例中’金屬頂蓋層ίο%之平均厚度可以為 與15nm之間、或2nm與10nm之間,例如:約2nm、3nm、4nm、 5nm、6nm、7nm、8nm、9nm、或10 nm。然而,本發明實施例並 不限制於那些厚度,並且可以使用更厚之金屬頂蓋層1〇〇6。相對 於沉積在低k介電層表面i〇〇〇a上,金屬頂蓋層1006沉積kCu 金屬層表面1004a上實質上會較有選擇性。換句話說,相較於在 低k介電層表面i〇〇〇a上,金屬完全或高度優先地沉積在Cu金屬 層表面1004a上。 201246274 ί上所述’Cu雙重金屬镶欲内連線結構中之em生命期強 乂地取決於在主體Cu金屬與周圍材料之介面 ,這些介面之黏合性有直接_。本發明人已^子^^屬 層1004與Ru金屬了頁蓋層1〇〇6間觀察到之 =之圖案化基板(描述於圖1B中)之處理期間,在===在 ^面處氧化所引起。這可能由於金屬頂蓋層勘
壁特性,其會使氧擴散通過金屬頂蓋層祕,並導致CU 表面1GG4a處氧化,藉此減⑽金屬層‘i金 屬頂盍層1006間之黏合性。 、孟 =5i 已了解,可以藉由不需電漿激發之含丽3製程氣 金屬頂蓋層腿以形成修改金屬頂蓋層/、 ^修改金纏層椒善之獅雜二,止金么頂=
Cu金面1〇〇4&之氧化會維持修改金屬頂蓋層1006盘 期。”屬層1004間之強大黏合性,並且預期產生較長之舰生命 顯!^不f電浆激發之含贿3氣製程氣體1⑽之 在暴路。丽3氣體暴露修改金屬頂蓋層1006,並 =限制,腿3氣體暴露被認為藉由在金屬丁貝蓋層= 邊界互相作用以及將氮結合到金屬頂蓋声1〇〇6中而蚀八厘 =5:==,:稀有氣體或N2)來執行_‘。 盥6〇t^3 段時間週期:10秒與騎之間、30秒 ,、㈧心之間、或60秒與12〇秒之間、或大於丨 3露期間’可以將包含金屬頂蓋層〇圖^ 二 持在常溫以上,例如·· 3〇。⑽卿^ 化基板之/皿度維 ^ 2〇〇〇c .200〇c # 3〇〇〇c ,; .300〇C^1^;; 201246274 在。f 1C中所描述之修改金屬頂蓋層1G1。之形成製程之 ί眉處理經部分製造之半導體裝置。圖1D顯示形成评改 2=層1G1G上與低W電層表面1隱上之介電障ί膜 介輯賴1G1何咕含含 膜」1:斜石IT夕(SlC)、或碳氮化矽(SiCN)、或其混合。介電障壁 置之有效介電常數有所貢獻,並且因⑶ ' Λ之材料通常具有比低k介電層1000更高之介電當數, 於15mn、少於10nm、或甚至少於5_。 部分製造之半導體裝置(概略地描述於圖瓜 的半導體裝置,例如:積體。㈣步處 “需半導體裝置暴露到氣體氧化環境,在 電〜顏1G14與修改金屬頂蓋層_,以防止或減少 環境 s露來 = 於==,製程腔室;s 〇』。代曰曰㈣衣輪腔至間)中之含氧背景氣體(例如:H2〇、 Γ29^考2|'Γ/„本發明實施例之料體裂置之流程 ^參考圖1Α - 1D,製程流程25。包含:在步驟2 ϋί。金屬層表面職與低W表面嶋之平坦化圖案 [0030] 在步驟254中,金屬頂蓋層1〇〇6選擇性沉 。綠礙⑽㈣轉⑹、处 程=積金i 口=電謙之熱化學氣相沉積(謂)製 [0031] 在步驟256中,藉由將金屬頂蓋層祕 amaait 1012 氣S m_、結合將圖案化基板之温 度卓待在至飢以上來執仃丽3氣體暴露。根據本發明—些實施 201246274 例,可以在不需電漿激發的情況 積製程與步驟250中之佟二驟254中之金屬頂蓋沉 電層表面麵a、電漿激發會損壞似介 _在步驟258中以及金屬頂蓋層祕。 1010 k 1000;^ 〇 可以包含含Si膜,你丨1 牛例术說,介電障壁膜1014 (SiCN)、或其混合。氮石夕卿)、碳化石夕(SiQ、或碳氮化石夕 [0033] 在步驟260中,進一牛卢搜闰安 電賴膜J〇14與修改金屬頂蓋層侧會 [0034] 實驗範例 她恤金屬頂 ,層、使用不需電襞激發之顺3氣體暴 ^頂 0削f度之Ru金屬頂蓋層、以及具有咖、1〇Μ有/:、與 nm (,又有SiCN介電障壁膜)厚度之SiCN介 ” 驗=構之Λ晶圓於赋下、空=經歷Ϊ化障 a概^壁錢之後’使轉描錢子顯微鏡 I笪^ %結構,並、给予未通過(FAILED)或通過必娜0) 之寻、、及。顯不Cu起泡之徵兆或膜銷孔(指出SiCN/Ru失效之 ,壁士效)之試驗結構得縣通過(FAILED)之等級,反之,顯示在 二與之後間沒有變化之試驗結構得到通過.
[0036]圖3顯示在缺乏SiCN介電障壁膜的情況下,具有5腿與 10nm厚度之未修改與修改^^頂蓋層未通過氧化障壁試驗、以及 在具有jnm與l〇nm厚度之未修改&11頂蓋層上之具有5nm與 10nm厚度之SiCN介電障壁膜亦未通過氧化障壁試驗。鈇而,在 具有5腿與Η)·厚度之修改Ru頂蓋層上之具有5_與 201246274 障麵通純轉賊驗。❹卜,在祕改或修改 厚(15nm) SiCN介猶麵通過氧化_試驗。簡言之
Hi ΪΓ當與具有至少5nm厚度之腦擴散障壁結合^ 相較於具有5nm或l〇mn厚度之未修改Ru頂蓋層,具 10nm厚度之修改Ru頂蓋層提供改善之抗氧化性。這樣可s 導體裝置中使用非常薄之SiCN介電障麵,其 ^ 效介電常數,並預期增加EM生命期。 積’路之有 [0037] 仍參考圖3 ’根據一些實施例,Ru金屬頂蓋層可以呈 於15了之厚度、SiCN介電障壁膜可以具有小於15nm之厚^、 Ru金屬頂盍層與SiCN介電障壁臈之結合厚度可以小於2〇η=。 [0038] 目4描述根據本發明實施例用來修改金屬頂蓋層之熱 糸統之概略圖。熱處理系統4〇〇包含製程腔室41〇,其呈有用 撐基板425之基板支撐器42〇。製程腔室41〇進一步包含上支 例如:噴淋頭),其連接到製程氣體供應系統_與驅氣氣體 i、應糸,442。熱處理系統400可以用來處理2〇〇咖之基_ 板、或歧尺权絲。事實上,熟悉本涵者會了解 沉積糸統預期可以用來處理基板、晶圓、或LCD(不論其解 因此^然本發明之實施態樣被描述為與半導體基板之處理有 關’本备明並不僅僅限制於此。 製程氣體供應系統440 $來將製程氣體446導引到製程F 至410 ^艮據本發明實施例,製程氣體446可以包含丽; =月性氣體。此外’驅氣氣體供應系統術可以用來導引驅氣氣3 4 ’熱處理祕.&含基板溫度控㈣統46〇, :、連ΐ到基板支撐器420並用來提升與控制基板425之溫度。A 上:又統460包含溫度控制元件’例如:包含再猶環冷ί 卩系統,該再循環冷卻劑流從基板支樓器420接受執並 到ί交換系統(未顯示)、或在加熱時從熱交換系統傳送, …。,溫度控制元件可以包含加熱/冷卻元件(例如:電阻加熱 12 201246274 元件、或熱電加熱器/冷卻器),該加熱/冷卻元件可以包含於基板 支#器420中、以及製程腔室410之腔室壁與熱處理系統4〇〇内 之其他任何構件中。基板之溫度可以維持在室溫以上,舉例來說: 在30oC與50oC之間、50oC與100oC之間、i〇〇〇c盥200°C之間、 200X與300°C之間、300X與400。(:之間、或更/高。 [0041] 為了改善基板425與基板支撐器420之間之熱傳送,基板 支撐益420可以包含機械夾持系統、或電子夾持系統(例如:靜電 夾持系統),以把基板425固定到棊板支撐器42〇之上表面。此外, 基,支撐器420可以進一步包含基板背側氣體輸送系統,其用來 將氣體導引到基板425之背側,以改善在.基板425與基板支撐器 420之間之氣隙(gas_gap)熱傳導。當基板之溫度必須控制在高溫或 低溫時,可以使用這類系統。舉例來說,基板背侧氣體系統可以 包含兩區域氣體分配系統,其中氦氣氣隙壓力可以獨立地變化於 基板425之中間與邊緣之間。 、 [0042] 此外,製程腔室41〇透過導管438進一步連接到壓力控制 系統43f,s亥壓力控制系統犯2包含真空幫浦系統與閥436, 其中遠壓力控制系統432用來將製程腔室410可控制地排空到適 合,^基板425之壓力下。真空幫浦系統434可以包含渦輪分子 真上’浦(IMP ’ turbo-molecular vacuum pump)、或抽氣速率能達 ,7〇00升/秒(或更大)之低溫幫浦,而閥430可以包含用來調節腔 室壓力之閘閥。此外,用來監測腔室壓力之裝置(未顯示)可以連接 到製程腔室410。舉例來說,壓力量測裝置可以為絕對電容壓力計。 [0043] 仍參考圖4,控制器47〇可以包含微處理器、記憶體、以 及數位I/O埠’該數位1/〇埠能產生控制電壓,該控制電壓足以傳 達與啟動輸入到熱處理系統400以及監測来自熱處理系統400之 輸出。此外,控制器470可以連接到製程腔室41〇、基板支撐器 =0、亡組件430、製程氣體供應系統44〇、驅氣氣體供應系統442、 土板溫度控制系統460、以及壓力控制系統432,並與其交換資訊。 舉例來說,為了執行處理製程,可以使用儲存在記憶體中之程式 以根據製程處方而啟動輸入到熱處理系統之上述構件。 13 201246274 [ϋπΐ 2而,控制益470可以被實施作為通用電腦系統,其執行 基於微纽11之處理步歡―較或全部㈣應處理器, ΐίϊί行記憶體中所容納之一或多個指令之一或多個序列。 二:二7可以自另.一電腦可讀取媒體(例如:硬碟或可移動媒體驅 控制㈢記憶體。多個處理器裝置中之-或多個處理器亦 器微處理器’以執行主記憶體中所容納之指令序 ^ 只〜例中,可以使用硬佈線電路(hard-wiredcircuitry) μ夕紅合軟體指令。如此’實施例並不受限於硬體電路與軟 體之任一特定組合。 含至少’腦可讀取媒體或記憶體(例如··控. ΐ = 來谷納依據本發明之教示而編制之指令、以及用 發,需之資料結構、表單、紀錄、或其他資料。 光楨驰例為光碟片、硬碟、軟性磁碟機、磁帶、磁 s= = Γ、卿R〇M、快閃咖0M)、D跡_ ===/紙帶、或其他具有孔圖案之= 載波(描述於下)、或任何電腦可讀出之其他髓。 [0046]本發明包含儲存於任何電腦可讀取』 媒體之組合中之軟體,該倾絲㈣控彻l 取 2明之裝置、以及用來使控制器與使用者互動背雕 體。這類電腦可讀取媒體進一步包含本發明應用軟 發明期間所執行之處理之全部或— 式庫(DLLs)、Java類(Java dasses)、以及 式動悲鏈結種 ^更好的表現、可靠度、及域成本,可以分散^:== 14 201246274 [0048]在此使用之「電腦可讀取媒體」一詞係任何媒體, 參與將指令提供到執行用之控制器47〇之處理器。電n ,以有多^形式,包含(但不受限於):非揮發某體、揮^性媒 體、以及輸达媒體。舉例來說,非揮發生媒體包含光碟x、 巧匕3動心5己脰,例如:主記憶體。此外,各種形 讀取媒體可以涉及將-或多個指令之一或多個 = 。舉例來說,指令最初可以裝載 磁=可以遠端地將用來實施本發明之全部或 ϋ々載入動態記憶體中,.並透過網際網路將指令傳送到控制器 =049]控制器470可以接近熱處理系統4〇〇 ^處理系統伽而設置。舉例來說,控制器使用 以及錄連狀者來絲處理系統 造商等等)連接_晴,或糊來說 (即,備製造商)連接到内部網路。此外制^ 二Γ尋了 乂存取^制為470,以透過直接連接、内.部網路、以及 ί 路之至少—者來交換資料。熟悉本技藝者也會理解十制 ‘501 無線連接而與熱處理系'统400交換資料。 概略圖,該熱化學沉積(TCVD)“】儿積(TCVD)糸統1之 氣與CO氣體沉積Ru金屬膜。^積3 j^(C0)i2前導物蒸 ίΐ 2〇" 到金屬前導_W相减料錄樣㈣而連接 [0〇51]製程腔室10進一步类 38,其中唁直*暂、者会妨^0透過¥吕6而連接到真空幫浦系統 系統4〇、以用來將製程腔室1()、蒸氣前導物輸送 宁'、充40以及金屬則導物蒸發系統%排空到一壓力,該壓力適 15 201246274 合在圖案化基板25上形成Ru金屬膜、以及適合金屬前導 系統50中之Ru3(c〇)i2前導物52之蒸發。 ’、u t〇52]仍參考圖5,金屬前導物蒸發系統50用來儲存Ru3(CO)12 ^導物52、用來將RU3(c〇)i2前導物52加熱到足以蒸發叫(co)^ 之溫度、以及用來將11113^0)12前導物蒸氣導引到蒸氣 刖 輸送系統4〇。在金屬前導物蒸發系統50中所挑選之加埶條 i::iU3(C〇)12前導物52為固態的。為了達到昇華固態Ru3(C&;; 刖導,52之期望溫度,金屬前導物蒸發系統50連接到蒸發溫度 控制系統54,其用來控制蒸發溫度。 X又 [0=53] ^例來說,RU3(c〇)i2前導物52之溫度可以提升到約恥。c ^約15〇°C之間。或者,紐溫度可以維持在約60oC到約90oC。 虽RU3(C〇)12前導物52受熱以產生昇華時,含(:〇氣體穿過或通 前導物52,以在RU3(C0)12前導物蒸氣形成時掘取 =氣體包含C0與非必要之惰性載送氣體,例如N2、或 ===He、Ne、Ar、&、或Xe)、或其混合。在c〇氣體之 f〇)12前導物可以降低限制Ru3(co)i2前導物蒸氣 ‘ 〇> 問題。已顯示當Ru3(co)i2前導物蒸氣形成時 = LRU3(C0)i2前導物蒸氣中會增加蒸發溫度。高溫 ϋ室=、、’12别導物之蒸氣壓力,導致Ru3(c〇)12前導物到製 增加’因此’ ®案化基板25上之此金屬膜之沉 用cJ公J、。#將^U3(C〇)12前導物輸送到製程腔室10之前,使 之早期f氣前導物輸送系 '统40中之RU3(C0)12前導物 沪室以二芦R 促進RU3(C0)12前導物蒸氣有效輸送到製程 “内^此^人述於美國專利第7,27G,848號,其 tit金Λ前導物蒸發系統5G可以為多托盤蒸發系 季統^★ 洛氣之有效蒸發與輸送。示範之多托盤蒸發 糸、,先係描述於美國專利第7,638,002號中。 軋體供應系統60連接到金屬前導物蒸發系統 。牛例來說,氣體供應系統6〇用以透過進料管線61而將 16 201246274 CO、載送氣體、或其混合供應到RU3(c〇)12前導物52下方、或透 過進料管線62供摩到Ru^COh2前導物52上方。此外,氣體供 應糸統60自金屬前導物蒸發系統50之下游連接到蒸氣前;導物輸 ,系統40,以在RuWCO)〗2前導物52之蒸氣進入蒸氣前導物輸送 , 系統40時或之後’透過進料管線63將氣體供應到Ru3(c〇)i2前導 物52之蒸氣。此外,可以利用進料管線63以藉由包含c〇氣體 • 之預處理氣體來預處理圖案化基板25,以在圖案化基板25暴露到
Ri^CCOi2刖導物蒸氣與CO氣體之前,使圖案化基板25之暴露表 面充滿吸附之CO。 [0056]雖.然.未顯示’氣體供應糸統.6.0_可以包含載送氣體源、cq 氣體源、一或多個控制閥、一或多個過渡器、以及質流控制器。 舉例來說,含CO氣體之流量可以在約〇·ι標準立方厘米/分(sccm) 與約1000 seem之間。或者,含CO氣體之流量可以在約1〇 sccm 與約500 sccm之間。又或者,含C0氣體之流量可以在約5〇 sccm 與約200 seem之間。根據本發明實施例,含c〇氣體之流量可以 在約0.1 seem到約1000 seem之範圍内。或者,含c〇氣體之流量 可以在約1 seem與約500 seem之間。 [〇〇57]在金屬前導物蒸發系統50之下游,含此3((:〇)12前導物蒗 氣與CO氣體之製程氣體流經蒸氣前導物輸送系統4〇,直到製程 氣體透過連接到製程腔室10之蒸氣分配系統30進入製程腔室 10。癌·氣前導物輸送系統40可以連接到蒸氣管路溫度控制系統 42,以控制蒸氣管路溫度與防止RU3(c〇)i2前導物蒸氣之分解、以 及Ru^CO;^前導物蒸氣之凝結。舉例來說,蒸氣前導物輸送系統 40可以維持於5〇。(:與100〇C間之溫度。 [0058]仍參考圖5 ’形成部分製程腔室10並連接到製程腔室1〇 - 之蒸氣分配:系統30包含蒸氣分配充氣室32,在該蒸氣分配充氣室 32内,在蒸氣通過蒸氣分配板34與進入圖案化基板25上方之處 理區域33之前,蒸氣會擴散。此外,蒸氣分配板34可以連接到 分配板溫度控制系統35,其用來控制蒸氣分配板34之溫度。 17 201246274 [0059] —旦含Ri^CO)!2前導物蒸氣與C0氣體之製程氣體進入製 程腔室10之處理區域33,Ru/CO)!2前導物蒸氣會在吸附於基f 表面後立即熱分解(由於圖案化基板25之高溫所引起),並且 金屬膜會形成於圖案化基板25上。基板支撐器20藉由連接到基 板溫度控制系統22以提升圖案化基板25之溫度。舉例來說,基 板溫度控制系統22可以用來將圖案化基板25之溫度提升到約 500°C。另外,製程腔室1〇可以連接到腔室溫度控制系統12',其 用來控制腔室壁之溫度。 〃 [0060] 仍參考圖5,沉積系統1可以進一步包含控制系統8〇,其 用來操作與控制沉積系統1之運作_。控制系統⑽連接到製程腔室 10、基板支撐器20、基板溫度控制系統22、腔室溫度控制系統12、 蒸氣分配系統30、蒸氣前導物輸送系統4〇、金屬前導物蒸發系統 50、以及氣體供應系統60。 …天/、” [0061] 圖6描述根據本發明實施例之另一 TCVD系統之概略圖, 該TCVD系統用來自RuKCO)〗2前導物蒸氣與c〇氣體沉積Ru金 屬膜。沉積系統100包含製程腔室110,其具有用來支撐圖案化基 板125之基板支撐器12〇,該圖案化基板125上形成此金屬膜。 製程腔至110連接到前導物輸送系統丨〇5,該前導物輸送系統 具有用來儲存與蒸發RuZCO)】2前導物丨52之金屬前導物蒸發系統 150’以及用來將Ruxcoh2前導物152之蒸氣運送到製程腔室11〇 之蒸氣前導物輸送系統140。 [00^2]製程腔室110包含上腔室部m、下腔室部112、以及排氣 月二至113。開口 Π4形成於下腔室部112内,其中下腔室部Μ] 與排氣腔室113連接。 ' [0063]仍參考圖6,基板支撐器12〇提供一水平表面以支撐待處 理之圖案化基板(或晶圓)125。基板支撐器120可以藉由圓柱支撐 構件122而支撐,該圓柱支撐構件122從排氣腔室113之底部向 伸。此外,基板支撐器12〇包含加熱器126,其連接到基板支 撐β溫度控制系統128。舉例來說,加熱器126可以包含一或多個 電阻加熱構件。或者舉例來說,加熱器126可以包含輻射加熱系 18 201246274 統,例如:鎢齒素燈。基板支撐器溫度控制系統128可以包含電 源、一或多個溫度感測器、以及控制器,該電源用來將電力提供 ^一或多個加熱構件,該一或多個温度感測器用來量測基板溫/、 =或基板支撐器溫度、或兩者之溫度,而控制制來執行圖案 化基板125或基板支樓器12〇之溫度之監測、調整、或护制。/ 處理綱’受熱之®案化基板125可以熱分解^c〇)12 刖¥物蒸軋,亚且能使RU金屬膜沉積在圖案化基板125上。基板 支^器120被加熱到預定溫度’該預定溫度適合在圖案化基板125 上沉積期望之Ru金屬膜。此外,連接到腔室溫度控制系统121之 加熱器(未顯示)可以内嵌於製程腔室..11〇之牆壁中,以將腔室壁加 熱到預定溫度。加熱器可以將製程腔室11〇之牆壁之 ㈣到約15(^C、或約批到約默。使用動闕(未顯g 來量測製程腔室壓力。根據本發明實施例,製程腔室壓力可以在 、-勺lmTorr與約500mTorr之間。或者,製程腔室壓力可以在約 10 mTorr 與約 1〇〇 mTorr 之間。 [0065]圖6中顯示,蒸氣分配系統13〇連接到製程腔室11〇之上 腔室部ill。蒸氣分配象統130包含蒸氣分配板131,其用以透過 一或多個孔134來將前導物蒸氣從蒸氣分配充氣室132導引到圖 案化基板125上方之處理區域133。 [^066],外’開口 135設置在上腔室部1Π中,用以將 前導,,氣與co氣體之製程氣體從蒸氣前導物輸送系統14〇導 引到蒸氣分配充氣室132中。此外,設置溫度控制元件136(例如: 用來流動冷卻或受熱流體之同心流體通道)以控制蒸氣分配系統 之溫度,藉此防止蒸氣分配系統13〇内部2Ru3(c〇)i2前導物 蒸氣之分,或凝結。舉例來說,可以將流體(例如:水)從蒸氣分配 溫度控制系統138供應到流體通道。蒸氣分配溫度控制系統138 ^以包含流體源、熱交換器、一或多個溫度感測器、以及控制器, 遠一或多個溫度感測器用來量測流體溫度、或蒸氣分配板溫度、 或兩者之溫度’而該控制器用來將蒸氣分配板131之溫度控制到 約20°C到約150oC。針對RU3(CO)]2前導物,蒸氣分配板131之 19 201246274 溫度可以維持在約65°C之溫度以上,以避免前導物凝結在蒸氣分 配板131上。' [0067] 如圖6中所說明,金屬前導物蒸發系統15〇用來保存 Ru3(CO)1;2前導物152、以及藉由提升Ru3(CO)12前導物之溫度來蒸 發(或昇華)Ru3(CO)12前導物152。在此可交換使用「蒸發」、「昇 華」等詞來代表從固態或液態前導物到蒸氣(氣體)之一般形成,舉 例來說,無論該變化為從固態到液態到氣態、從固態到氣態、或 攸液悲到氣悲。设置前導物加熱器154來加熱Ru;3(CO)i2前導物 152,以將RU3(C〇)12前導物152維持在產生RU3(c〇)12前導物152 之期望蒸氣壓力之溫度下。前導物加熱器154連接到蒸發溫度控 制系統156,其用來控制Ru3(CO)12前導物152之溫度。舉例來說, 前導物加熱器154可以用來將Ru3(CO)12前導物152之溫度調整到 約40°C到約150。(:、或約60°C到約90oC。 [0068] 當RU3(CO)12前導物152受熱以產生蒸發(或昇華)時,含 CO氣體會穿過或通過rU3(c〇)12前導物152,以在Ru3(CO)12前導 物蒸氣形成時擷取之。含CO氣體包含CO與非必要之惰性載送氣 體,例如Nz、或稀有氣體(即He、Ne、Ar、Kr、Xe)。舉例來說, 氣體供應系統160連接到金屬前導物蒸發系統i5〇,並且舉例來 說’其用來使CO氣體流過或流經RU3(c〇)12前導物152。雖然未 顯示於圖6中,氣體供應系統160亦可以連接到蒸氣前導物輸送 系統140,以在RU3(c〇)12前導物152之蒸氣進入蒸氣前導物輸送 系統140時或之後’將CO氣體供應到Ru3(c〇)i2前導物152之蒸 氣,舉例來說,以藉由包含CO氣體之預處理氣體來預處理圖案 化基板125 ’以在圖案化基板125暴露到包含仙3(〇))12前導物蒸 氣與CO氣體之製程氣體之煎,使圖案化基板125之暴露表面充 滿吸附之CO。 [〇〇69]氣體供應系統16〇可以包含含惰性載送氣體、c〇氣體、或 其混合之氣體源161' —或多個控制閥162、一或多個過滅器164、 以及質流控制器165。舉例來說,含CO氣體之質流量可以在約 O.lsccm到約1〇〇〇 seem之範圍内。 20 201246274 [0070]此外’設置感測器166以量測來自金屬前導物蒸發系統工 之總氣體流量。舉例來說,感測器166可以包含質流控制器,二 且可以使用感測斋166與質流控制器165來決定輸送到製程腔室 110之Ru/CC^2前導物蒸氣之量。或者,感測器166可以包含 吸收感測器’以I測在氣體流中流動到製程腔室.1 之Ru 前導物之濃度。 12 [0071]旁通管路167可以位於感測器166之下游,並且旁通管路 167可以將蒸氣前導物輸送系統HO連接到排氣管路116。設置a 通管路167以排空蒸氣前導物輸送系統14〇、以及穩定RiJ(c〇^ 鈿導物蒸氣與CO-氣體到製程腔室no之供應。此外,位於蒸氣 前導物輸送系統140之分支下游之旁通閥ι68設置在旁通管“路 167 上。 [0072]仍參考圖6,蒸氣前導物輸送系統140包含高傳導蒸氣管 路,其具有分開的第一閥141與第二閥142。此外,蒸氣前導物輪 送系統140可以進一步包含蒸氣管路溫度控制系統143,其用以& 透過加熱器(未顯示)加熱蒸氣前導物輸送系統14〇。可以控制蒸氣 管路之溫度’以防止蒸氣管路中之灿3(〇))12前導物蒸氣之凝^ 蒸氣管路之溫度可以控制在約20°C到約1〇〇。〇:、或約4〇。(:到約 90oC。 、’、 [0073] 此外’可以從氣體供應系統190供應c〇氣體。舉例來說, 氣體供應系統190連接到蒸氣前導物輸送系統14〇,並且舉例來 說,氣體供應系統190用以使用包含c〇氣體之預處理氣體預處 理圖案化基板125,或者舉例來說,在閥141之下游之蒸氣前導物 輸送系統140中混合額外的CO氣體與Ru^co)〗2前導物蒸氣。氣 體供應糸統190可以包含CO氣體源191、一或多個控制閥192^ 一或多個過濾器194、以及質流控制器195。舉例來說,c〇氣體 之質流量可以在約0.1 seem到約1000 sccm之範圍内。 [0074] 質流控制器 165、195、以及閥 162、192、168、141、與 142藉由控制器196而控制,該控制器196控制惰性載送氣體、 CO氣體、以及Ru/CO)!2前導物蒸氣之供應、關閉、以及流量。 21 201246274 =器166亦連制控制器196,並且依據感測器、166之輸出 ίϋ6Λ以透過f流控制11165來控制載送氣體流量,以得到二 流動到製程腔室110之期望rU3(CO)12前導物流量。 于 [:5]如圖6中所顯示’排氣管路116將排氣腔室ιΐ3 =浦系統m。使用真空幫浦119卩在處理期間將製程 m =到植之真空程度、以及從製程腔室11G去除氣體物種。自 器(APC)115與捕集器117可以與真空幫浦119相連使 用二/、空幫浦119可以包含渦輪分子真空幫浦(TMp),其抽 能高,約5〇〇升/秒(或更大〕。或者,真空幫浦U9可以包含乾式 ,抽幫浦(dry roughingpump)。在處理期間,製程氣體會被" ‘程腔室110中,並且可以藉由APC 115來調整腔室壓力。八^匸 115可以包含蝶式閥、或閘閥。捕集器117可以收集來自製程腔 110之未反應11113((:0)12前導物材料與副產物。 [〇〇76]回去參考製程腔室11〇中之基板支撐器12〇,如圖6中所 顯不,設置三個基板上升銷127 (僅顯示兩個),以支撐、上升、以 及下降圖案化基板125。基板上升銷127連接到板123,並且可以 ^降到基板支撐器120之上表面下方。舉例來說,使用氣缸之驅 動機,129提供用來上升與下降板123之裝置。圖案化基板125 可^藉由機械傳送系統(未顯示)經閘閥2〇〇與腔室穿殼通道2〇2而 傳送到製程腔室110中、以及從製程腔室11〇傳送出,並被基板 上升銷127所接收。一旦從傳送系統接收圖案化基板125,可以藉 由下降基板上升銷127來將圖案化基板125降低到基板支撐器12^ 之上表面。 °° [0077]仍簽考圖6,沉積系統控制器18〇可以包含微處理器、記 ,體、以及數位I/O埠,該數位1/〇埠能產生控制電壓,該控制電 壓足以傳達與啟動沉積系統1〇〇之輸入、以及監測來自沉積系統 1/00之輸出。此外,控制器180連接到製程腔室110、前導物輸送 系統10^(包含控制器196、蒸氣管路溫度控制系統143、與蒸發溫 度控制系統156)、蒸氣分配溫度控制系統i38、真空幫浦系統丨i 8、 以及基板支撐器溫度控制系統128,並與該等系統交換資訊。在真 22 201246274 118,’控制器180賴到apc 115並與其交換資訊, ,ΐ體中來控程腔室110中之壓力。可以使用儲存在記 ΐ述元件私式,以把乂據所儲存之製程處方來控制沉積系統100之 i8G可以被實施作為賴電腦祕,其執行本發明 器之處理步驟之一部分或全部以回應處理器,該處理 J可己ΐ?:戶ί容ΐ之一或多個指令之一或多個序列。這類指 二入抛丨-—電腦可純職(例如:觸或可雜舰驅動器) 憶體中。多處峨中之-或多個處理器亦可以^ 二二!i'i處理’以執行主記憶體中所容狀指令序列。在另 可以使用硬佈線電路來代替或結合軟體指令。如此, Λ施例亚不受限於硬體電路與軟體之任一特定組合。 =包含至少—電腦可讀取舰^隨(例如:控 ^谷納貫施本發輯需之㈣結構、表單、紀錄、或i f用 為光碟片、㈣、軟性猶機、、磁帶:磁
8〇ΪλΓΤ EPR〇M'^r^ EPR〇M)^DRAM^SRAM 或任何其他磁性媒體、辆(例如:CD·職)、或任何 帶、或其他具有孔圖案之實體媒體、 戟波(摇述於下)、或任何電腦可讀出之其他媒體。 [0080]本發明包含儲存於任何電腦可言 * ^ 體之組合巾之倾,錄翻來㈣㈣nH =之裝置、及/或絲使控彻與使用者互動\ 本發明期間所執行之處理— 式庫(DLLs)如類⑽a classes)、以及可完全執^==程 C; 23 201246274 =更好的表現、可靠度、及/或成本,可以分散部分之本發明之 [0082]在此使用之「電腦可讀取媒體」一詞 體參與將齡提供職行狀控㈣⑽之處體’該媒 媒體可以有多種形式,包含(但不受限於)1電腦可讀取 媒體、以及輸送媒體。舉例來說,非揮發性媒揮發性 ίϊί碟、或其他可移動媒體驅動器;竿 讀取媒體可以涉及將—或多個指令之-或多腦可 之控制S之處職。舉例來說,齡最初 二巧仃用 遠端地將用來實施本發^5以 18〇0。 悲、體中,並透過網際網路將指令傳送至^控: 卿3]控制器180可以接近沉積系統1〇〇 沉積系統⑽而設置。舉例來說,控制器⑽ 歲者^祕 交換資料。舉例來說,控制器⑽可以在客戶t 1〇0 者舉例來說’其可以在供應商:置= 等>可以存取控制器18〇,=直i連路器,服器等 二路^至少—者來交換資料。熟悉 , 可以透過無線連接而與沉積系統⑽交輸;。解拴制副 [0084J在㈣實施例中已揭露用來 例、。,為了說明與描述之目的,已提出本發明貫施 ,亚非意圖為詳盡無遺的或者將本發明限 月二 中。說明與隨後之申請專利範圍包含 厅式 =制=。舉例來說,在此(包含申⑶ 之名3在··.之上」並非要求在圖案化基板「上」之)= 24 201246274 其他結 ^上或絲板直接翻;在顯基《可叫第二膜或其 [0085]熟悉相關技藝者可以 變化為可触。熟悉本聽麵_ &
=Γ:ΐ:ίϊ。因此本發明之範二=S 明所限制,而疋由其隨附之申請專利範圍所限制。 。兄 【圖式簡單說明】 [0009] |考以上詳細說明,尤其是連同隨附之圖示— , 本發明更全面的了解與許乡伴隨之優點將麟顯㈣見,^中. 本發明—實施例之形成半導體裝置 [0011] 圖2係根據本發明實施例用來形成半導體裝置之流程圖; [0012] 圖3係顯示根據本發明實施例之介電障壁膜與修改如金 頂蓋層之抗氧化結果; … [0013] 圖4係描述根據本發明實施例用來修改金屬頂蓋層之執處 理系統之概略圖; 、 ” [0014] 圖5係描述根據本發明實施例用來沉積如金屬膜之熱化學 氣相沉積(TCVD)系統之概略圖;及 [0015] 圖6係描述根據本發明另一實施例用來沉積以金屬膜之另 一 TCVD系統之概略圖。 【主要元件符號說明】 1沉積系統 10製程腔室 12腔室溫度控制系統 20基板支撐器 22基板溫度控制系統 25圖案化基板 30蒸氣分配系統 25 201246274 32蒸氣分配充氣室 33處理區域 34蒸氣分配板 35分配板溫度控制系統 36導管 38真空幫浦系統 40蒸氣前導物輸送系統 42蒸氣管路溫度控制系統 50金屬前導物蒸發系統 52 Ru3(CO)12 前導物一 54蒸發溫度控制系統 60氣體供應糸統 61進料管線 62進料管線 63進料管線 80控制系統 100沉積系統 105前導物輸送系統 110製程腔室 111上腔室部 112下腔室部 113排氣腔室 114 開口 115自動壓力控制器 116排氣管路 117捕集器 118真空幫浦系統 119真空幫浦 120基板支撐器 121腔室溫度控制系統 201246274 122支撐構件 123板 125圖案化基板 126加熱器 127基板上升銷 128溫度控制系統 129驅動機構 130蒸氣分配系統 131蒸氣分配板 132:蒸氣分配充氣室 133處理區域 134孔 135 開口 136溫度控制元件 138蒸氣分配溫度控制系統 140蒸氣前導物輸送系統 141閥 142閥 143蒸氣管路溫度控制系統 150金屬前導物蒸發系統 152 Ru3(CO)12 前導物 154前導物加熱器 156蒸發溫度控制系統 160氣體供應系統 161氣體源 162控制閥 164過濾器 165質流控制器 166感測器 167旁通管路 201246274 168閥 180控制器 190氣體供應系統閥 191 CO氣體源 192閥 194過濾器 195質流控制器 196控制器 200閘閥 202腔室穿殼通道 250製程流程. 252、254、256、258、260 步驟 400熱處理系統 410製程腔室 420基板支撐器 425基板 430上組件 432壓力控制系統 434真空幫浦系統 436閥 438導管 440製程氣體供應系統 442驅氣氣體供應系統 446製程氣體 460基板溫度控制系統 470控制器 1000低k介電層 1000a低k介電層表面 1002擴散障壁層 1004 Cu金屬層 201246274 1004a表面 1006金屬頂蓋層 1010修改金屬頂蓋層 1012含NH3氣之製程氣體 1014介電障壁膜

Claims (1)

  1. 201246274 七、申請專利範圍: I-種^置之形齡法,包含: 介電坦化之圖案化基板,其包含銅(Cu)金屬表面與低k 表社選擇性沉積金屬頂蓋層; 製程到不需輸發之含卿細 以及在該做金屬了M蓋層上_介電層表面上舰介電障壁膜; 異電卩早壁膜暴露到氣體氧化環境,I中^^介雷陸辟眩 暴露到該氣體氧化環境時,料^ mi.麵壁膜 止該cu金屬表面之氧化。‘麵_與該修改金屬頂蓋層防 導體裝置之形成方法,其中該金屬頂 自釕(Ru)、铑(处)、鉑(Pt)、鈀(Pd)、 2·如申請專利範圍第1項之半 蓋層包含一金屬,該金屬挑選 或其合金。 ' 導體裝置之形成方法,其中該金屬頂 導體裝置之形成方法,其中該介電障 3. 如申請專利範圍第2項之半 蓋層具有小於15nm之厚度。 4. 如申請專利範圍第3項之半 壁膜具有小於15mn之厚度。 5. 如_請專利範圍第4項之 蓋層與該介電障壁膜之結合成方法’其中該金屬頂 6. 如申請專利範圍第丨項之半 麵包含氮切_、魏彡妨法,其巾該介電障 m)、妷氡化石夕(siCN)、或其組合。 201246274 體進切毅法,其巾該製程氣 8.如申請專利範圍第丨之 沉積包含蝴幡 9· 裝置之形成方法,包含: 介電層^面;坦化之圖案化基板,其包含鋼(〇!)金屬表面與低k 層包含-x金1tm蓋層,其巾該金屬頂蓋 或其合金; 、自釕(Ru)、铑(Rh)、鉑(Pt)、鈀(Pd)、 製程= 到不需嶋發之含卿3)氣的 之介蓋層上與該低W電層表面上形成含· 該介,其中該金屬頂蓋層與 屬頂蓋層之半,其中當與使用未修改金 蓋層之半導雜置抛紐倾金屬頂 =如申請專職目帛9狀半導财置 性沉積包含彻綱繼擇 如申請專利範圍第9項之半導體裝置之报士女土 =膜包含氮化矽(SiN)、碳化矽(SiQ、碳氮化矽:㈣、)、 201246274 如申請專利範圍第9項之半導體裝置 g層具有小於15nm之厚度,該介電障壁膜具有小ϋ㈣之 =如^請專概圍第12項之半導體 形 頂盍層與齡轉賴之結合厚度挪2(U心屬 14.一種半導體裝置之形成方法,包含: 介電平坦化之圖案化基板,其包含銅(Cu)金屬表面與低k 製=====繼^含氧)氣的 以及仏改金屬頂蓋層上與該介電層表面上形成介電障壁膜; 義露膜暴露到氣體氧化環境,其中當該介電障壁膜 該介電障壁膜與該修改金屬= ====類置切纖,其中該金屬 障壁膜具導體裝置之形成方法,其中該介電 頂蓋層與該介體裝置之軸紐,射該金屬 早』臊〈結合厚度小於20nm。 S 32 201246274 電 或其混 中該介 19. 如申請專利_第14項之轉體 擇 性沉積Ru金屬料料含料和心―万亥延擇 叫〇))12與co之^積氣ΐ奸坦化之圖案化基板暴露到包含 20. 如申請專利範圍第14項之半導體裝置之 _)氣之製程氣體由顺3、或邮.與惰性氣^所組成、。中^乳 八、圖式: 33
TW101111473A 2011-03-30 2012-03-30 Method for modifying metal cap layers in semiconductor devices TW201246274A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/076,016 US20120252210A1 (en) 2011-03-30 2011-03-30 Method for modifying metal cap layers in semiconductor devices

Publications (1)

Publication Number Publication Date
TW201246274A true TW201246274A (en) 2012-11-16

Family

ID=46927795

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101111473A TW201246274A (en) 2011-03-30 2012-03-30 Method for modifying metal cap layers in semiconductor devices

Country Status (3)

Country Link
US (1) US20120252210A1 (zh)
TW (1) TW201246274A (zh)
WO (1) WO2012135379A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI787307B (zh) * 2017-08-18 2022-12-21 美商應用材料股份有限公司 高壓及高溫退火腔室

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9659857B2 (en) 2013-12-13 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method making the same
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
US9659864B2 (en) * 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for forming self-aligned via with selectively deposited etching stop layer
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
CN110678973B (zh) 2017-06-02 2023-09-19 应用材料公司 碳化硼硬掩模的干式剥除
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
WO2019210234A1 (en) * 2018-04-27 2019-10-31 Tokyo Electron Limited Area selective deposition for cap layer formation in advanced contacts
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
TWI757659B (zh) * 2018-11-23 2022-03-11 美商應用材料股份有限公司 碳膜的選擇性沉積及其用途
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8138604B2 (en) * 2007-06-21 2012-03-20 International Business Machines Corporation Metal cap with ultra-low k dielectric material for circuit interconnect applications
US7514361B2 (en) * 2007-08-20 2009-04-07 International Business Machines Corporation Selective thin metal cap process
US8084862B2 (en) * 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US7799681B2 (en) * 2008-07-15 2010-09-21 Tokyo Electron Limited Method for forming a ruthenium metal cap layer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI787307B (zh) * 2017-08-18 2022-12-21 美商應用材料股份有限公司 高壓及高溫退火腔室
US11694912B2 (en) 2017-08-18 2023-07-04 Applied Materials, Inc. High pressure and high temperature anneal chamber

Also Published As

Publication number Publication date
WO2012135379A1 (en) 2012-10-04
US20120252210A1 (en) 2012-10-04

Similar Documents

Publication Publication Date Title
TW201246274A (en) Method for modifying metal cap layers in semiconductor devices
JP5550566B2 (ja) 半導体デバイスのCuメタライゼーションへ選択的低温Ru堆積を統合する方法
JP5406191B2 (ja) ルテニウムの選択堆積を半導体デバイスの作製に統合する方法
US8076241B2 (en) Methods for multi-step copper plating on a continuous ruthenium film in recessed features
TWI313910B (en) Method for forming a barrier/seed layer for copper metallization
TWI545653B (zh) 利用平滑的未凝聚之銅晶種層對於凹陷特徵部施行之無孔隙銅填充
JP5674669B2 (ja) ルテニウム金属キャップ層を形成する方法
JP2013507008A5 (zh)
TWI360167B (en) Method for integrating a ruthenium layer with bulk
US7432195B2 (en) Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
TW200931531A (en) Method for forming cu wiring
TWI260740B (en) Semiconductor device with low-resistance inlaid copper/barrier interconnects and method for manufacturing the same
KR100731424B1 (ko) 성막 방법, 및 이 방법을 실행시키는 프로그램을 기억시킨 컴퓨터 판독가능 기록 매체
TWI320063B (en) Method and system for forming a variable thickness seed layer
US20110297551A1 (en) Method for fabricating electronic component and electro-plating apparatus
JP5214092B2 (ja) 触媒を用いた無電解めっきによりパターン化された絶縁体上に金属層を形成する方法
Hosseini et al. Ru as an alternative material for advanced contacts
Liu et al. Cu film thermal stability on plasma cleaned polycrystalline Ru
JP2006148089A (ja) 成膜方法
JP2006128288A (ja) 成膜方法、半導体装置の製造方法、半導体装置、プログラムおよび記録媒体
TWI310967B (en) Method and deposition system for increasing deposition rates of metal layers from metal-carbonyl precursors
Shimizu et al. CVD and ALD of Cobalt-tungsten alloy film as a novel Copper diffusion barrier
US20090152735A1 (en) Metal Interconnection and Method for Manufacturing the Same in a Semiconductor Device
TW201035357A (en) Substrate with alloy film of metal element having barrier function and metal element having catalytic energy